27-28 August 2025
Suwon
07:00 – 08:15
Registration
07:00 – 08:00
Registration
07:15 – 08:00
Welcome Breakfast and Registration
07:45 – 08:30
Registration
07:50 – 08:30
Registration
07:55 – 08:25
Registration
07:55 – 08:25
Registration
08:00 – 08:55
Registration & Welcome Coffee
08:00 – 08:35
Registration
08:00 – 08:25
Welcome Speech
Salah Nasri
I.S.E.S.
Salah Nasri is the CEO & Co-Founder of the International Semiconductor Executive Summits (ISES), a division of the International Semiconductor Industry Group Ltd. an influential organization within the semiconductor industry. With extensive experience in the sector, Nasri has played a pivotal role in fostering global collaboration among semiconductor leaders. Under his leadership, ISES has become a premier platform for industry executives to connect, share insights, and drive innovation across various regions including the United States, Europe, Asia, and the Middle East.
Salah Nasri has been instrumental in expanding the reach and impact of ISES, organizing significant events that bring together decision-makers from across the semiconductor ecosystem. These events provide opportunities for networking, collaboration, and the exchange of ideas crucial for advancing the industry in areas such as semiconductor manufacturing, MEMS, AI, automotive electronics, and more.
His leadership has not only enhanced the visibility of ISES globally but also strengthened partnerships with key industry players, ensuring that ISES remains at the forefront of semiconductor innovation and development. Salah Nasri has previously worked at Goldman Sachs’s, Credit Suisse and International Business Development Group. Salah Nasri graduated from Oxford University and Loughborough University in International Relations and Economics. In 2024, Salah Nasri became a Stanford University Alumni after completing the Stanford Executive Program.
Company Profile
Established in 2010, the International Semiconductor Industry Group (I.S.I.G.) is a prestigious and trusted association within the semiconductor industry, renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain.
Moreover, I.S.E.S. serves as the Premier platform for senior executives in technology, manufacturing, and R&D from diverse semiconductor companies, technology providers, and affiliated industries. Our events are instrumental helping to shed light onto key industry trends, drive innovation and influence key decisions to help shape, and advance the growth of the semiconductor sector. Join us today!
08:00 – 08:15
Opening Address
Jubed Miah
I.S.E.S.
Company Profile
Established in 2010, the International Semiconductor Industry Group (I.S.I.G.) is a prestigious and trusted association within the semiconductor industry, renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain.
Moreover, I.S.E.S. serves as the Premier platform for senior executives in technology, manufacturing, and R&D from diverse semiconductor companies, technology providers, and affiliated industries. Our events are instrumental helping to shed light onto key industry trends, drive innovation and influence key decisions to help shape, and advance the growth of the semiconductor sector. Join us today!
Kamel Ait Mahiout
Kamel Ait Mahiout is a seasoned professional with over 30 years of experience in the electronics industry. His expertise spans from RF and Microwave engineering to executive roles in prominent companies such as Unity SC and Amkor Technology, where he significantly contributed to the growth and alignment of the businesses with key industry players.
08:15 – 08:30
Welcome Speech
Kamel Ait Mahiout
Kamel Ait Mahiout is a seasoned professional with over 30 years of experience in the electronics industry. His expertise spans from RF and Microwave engineering to executive roles in prominent companies such as Unity SC and Amkor Technology, where he significantly contributed to the growth and alignment of the businesses with key industry players.
08:15 – 08:45
Registration
08:15 – 08:35
Keynote
The Power to Make It Real: The SiC Power Semiconductor Revolution
Today, we are standing at the precipice of a semiconductor revolution, having only scratched the surface of silicon carbide’s potential use cases. As a unique pure-play vertically integrated silicon carbide company, Wolfspeed is leading the transformation to high-volume production of 200mm SiC materials and devices to meet the world’s ever-growing demand. In this talk, I will discuss the essential components and key challenges of delivering the 200mm SiC integrated supply chain from crystal growth through devices.
John Edmond
Wolfspeed
Dr. John Edmond is a research Fellow and one of Wolfspeed’s co-founders. Currently, his research is focused on Silicon Carbide materials for power semiconductor device applications. He was a key scientist in the research, development and manufacturing of silicon carbide and GaN LEDs for 30 years and is the inventor of 497 worldwide patents. John graduated with a B.S. in ceramic engineering from Alfred University and received a Ph.D. in materials science at North Carolina State University.
Company Profile
Wolfspeed (NYSE: WOLF) leads the market in the worldwide adoption of Silicon Carbide and GaN technologies. We provide industry-leading solutions for efficient energy consumption and a sustainable future. Wolfspeed’s product families include Silicon Carbide materials, power-switching devices and RF devices targeted for various applications such as electric vehicles, fast charging, 5G, renewable energy and storage, and aerospace and defense. We unleash the power of possibilities through hard work, collaboration and a passion for innovation. Learn more at www.wolfspeed.com.
Wolfspeed® is a registered trademark of Wolfspeed, Inc.
08:20 – 08:55
Registration & Welcome Coffee
Alexander Krick
Volkswagen Group Components
Company Profile
As group-wide „Powerhouse“ of Volkswagen, the group resort Technology with its business units is responsible for the core transformation-topics “battery”, “charging and energy”, and “components”. It shapes the development and production of strategic components for the car-manufacturing brands of the group and with its “platform business” also for OEMs outside the Volkswagen Group. As an independent company inside the group resort Technology, Volkswagen Group Components employs 70.000 employees in more than 60 factories worldwide. They provide a valuable contribution for the Volkswagen Group, its brands and products. Thomas Schmall is the board member for the group resort Technology and CEO of Volkswagen Group Components.
Kamel Ait Mahiout
Kamel Ait Mahiout is a seasoned professional with over 30 years of experience in the electronics industry. His expertise spans from RF and Microwave engineering to executive roles in prominent companies such as Unity SC and Amkor Technology, where he significantly contributed to the growth and alignment of the businesses with key industry players.
08:30 – 08:50
Keynote
Enhancing Europe’s Leadership in MEMS & Sensors: Seizing Opportunities with the EU Chips Act
(Virtual Presentation)
MEMS sensors and actuators bridge the natural and virtual worlds, unlocking the potential of digital technologies across key industries like automotive, manufacturing, and healthcare. This sector is thriving, showing consistent growth, with Europe leading the charge through its advanced companies and world-class research institutions. Strengthening Europe’s presence in this sector is crucial for maintaining its leadership in the digital revolution. The European Commission is dedicated to sustaining this growth and enhancing Europe’s position in the field, recognizing the strategic importance of the MEMS sector. The EU Chips Act is designed to support this by addressing challenges across the entire semiconductor value chain, benefiting the MEMS sector through enhanced collaboration, research, and funding. This legislation aims to create a more resilient and innovative ecosystem for European MEMS manufacturers and developers. In this keynote, we will provide an overview of the EU Chips Act, highlighting the vast opportunities it offers, including access to world-leading research and innovation platforms, as well as various funding opportunities tailored for the MEMS sector.
Pierre Chastanet
EU Commission
Pierre Chastanet is Head of the Unit for Microelectronics and Photonics Industry at the European Commission, where he manages the development of European semiconductor policy and the implementation of the European Chips Act.
Mr. Chastanet has been working for over 17 years in the European Commission, supervising different digital policies in the areas of cloud, data flows, software, cybersecurity, privacy, green ICT, and telecom innovation.
Prior to that, Mr. Chastanet gained more than 10 years of ICT experience, mostly in various IT management positions in a large multinational company.
He graduated from Telecom ParisTech, the Free University of Brussels, and the London School of Economics and Political Science. He also earned a Leadership Executive Certificate from Harvard Kennedy School of Government.
Company Profile
The European Commission is the EU’s politically independent executive arm. The Directorate‑General for Communications Networks, Content and Technology is the Commission department responsible to develop a digital single market to generate smart, sustainable and inclusive growth in Europe.
Follow the latest EU tech news on Twitter, Facebook, Instagram and YouTube via @DigitalEU.
08:30 – 08:55
Japan’s Policy Trends in Semiconductor and Digital Industry Strategy
Two years have passed since the formulation of the Semiconductor and Digital Industry Strategy in June 2021, the Ministry of Economy, Trade and Industry revised its Semiconductor and Digital Industry Strategy in June 2023.
In this strategy, in the semiconductor sector, Japan aims to achieve total sales of 15 trillion yen or more for domestic semiconductor manufacturing companies by 2030, and while step 1 is developing semiconductor manufacturing capability, step 2 will bethe establishment of manufacturing technology for 2nm and beyond logic semiconductors. Finally, it will work on the development of future, game-changing technologies, such as photonics-electronics convergence in step 3.
In this presentation, specific initiatives such as research and development, human resource development, and international collaboration based on the Semiconductor and Digital Industry Strategy will be explained, along with the latest policy trends.
Hisashi Kanazashi
Ministry of Economy, Trade and Industry (METI)
Director, IT Industry Division, Commerce and Information Policy Bureau, METI(Ministry of Economy, Trade and Industry)
1998 Joined the Ministry of International Trade and Industry (MITI)
2007 Visiting Scholar, Stanford University
2008 MBA from EDHEC Business School, France
2009 Industrial Revitalization Division
2011 Policy Planning and Coordination Division, Minister’s Secretariat
2014 Japan Economic Revitalization Bureau, Cabinet Secretariat
2016 Deputy Director, JETRO Los Angeles Office,
Director, Industry Creation Policy Division, Principal Director, IT Industry and Digital Economic Security, etc
2021 Counselor for Information Industry and Digital Economy and Security, Minister’s Secretariat
July 1, 2022 Current Position
08:30 – 08:50
The Essential Evolution of Packaging in the Systems Foundry Era
The transition to the AI era and the next era of computing requires a fundamental shift in the semiconductor industry to heterogenous systems of chips. A systems foundry approach combines world-class foundry offerings, sustainable and resilient supply, and co-optimized, composable packaging solutions to enable systems of chips with enhanced capabilities and efficiencies for the AI era.
Stuart Pann
Intel Foundry
Stuart Pann is senior vice president and general manager of Intel Foundry Services (IFS). In this role, Pann drives continued growth for IFS and its differentiated systems foundry offering, which goes beyond traditional wafer fabrication to include packaging, chiplet standards and software, as well as U.S.- and Europe-based capacity.
Pann previously served as chief business transformation officer and general manager of Intel’s Corporate Planning Group. As part of this role, he established the company’s IDM 2.0 Acceleration Office (IAO) to guide the implementation of an internal foundry model. IAO closely collaborates with all Intel business units and functional teams to support the company’s internal foundry model.
In June 2021, Pann returned to Intel, where he had started his career in 1981. Prior to his return, he was chief supply chain officer and chief information officer at HP for six years. At HP, Pann was responsible for the company’s supply chain, which delivers nearly 100 million products to customers each year.
Before joining HP in July 2014, Pann served as corporate vice president and general manager of Intel’s Business Management Group, where he was responsible for pricing, revenue and forecasting functions for the company’s microprocessor and chipset operations. He also co-managed the geographic operations teams for the Intel sales force and was responsible for order management and external-facing supply chain programs. Pann held several management positions within the company’s sales organization before moving into an operations role in 1999 as the director of Microprocessor Marketing and Business Planning.
Pann earned a bachelor’s degree in electrical engineering from Michigan Technological University and an MBA from the University of Michigan.
Company Profile
Intel’s systems foundry approach offers full-stack optimization from the factory network to software. Intel and its ecosystem empower customers to innovate across the entire system through continuous technology improvements, reference designs and new standards. Intel Foundry is an independent foundry business that meets our customers’ unique product needs, including our industry-leading sort and test capabilities. Whether front-end or back-end design is needed, when integrated with our foundry co-optimized development kits based on industry-standard tools and flows and powerful silicon IPs, the result is true innovation.
08:30 – 08:50
Epitaxy: The lithography of GaN
The world’s need for more efficient power solutions together with Net Zero initiatives are driving development for GaN on silicon as a solution for voltage nodes up to 650 V. Building on market insertion for lower voltage applications (e.g., efficient USB-C chargers), fabless and specialty foundries are rapidly developing the technology for higher voltage commercial and automotive applications.
The successful development of viable GaN on silicon technology for these applications requires a paradigm shift for technology innovation. For over 50 years, most semiconductor innovation has focused on CMOS silicon where device design and fabrication have been the key enablers. In these instances, the starting materials, silicon wafers, have been a commodity and not an area of innovation and development. For GaN on silicon, this is no longer the case; the key enabler and differentiator is at the materials/epiwafer level. Advancing GaN on silicon requires fundamental materials engineering to address inherent strain and thermal challenges. Specifically, the enabling innovation is in the epitaxial engineering of the growth process, thus overcoming technological challenges at the materials level.
To demonstrate the shift in the innovation landscape, data for 650 e/d mode GaN on silicon HEMTs will be presented along with a roadmap to higher voltage nodes.
Dr. Rodney Pelzel
IQE
Dr. Rodney Pelzel is the Chief Technology Officer at IQE, the leading supplier of compound semiconductor wafer products and advanced material solutions to the global semiconductor industry
Rodney has over 20 years of experience in the semiconductor industry, with deep expertise in semiconductor materials engineering and the epitaxial growth of compound semiconductors. Rodney joined IQE as a Production Engineer in 2000 and during his first twelve years at IQE he held various engineering and operational management roles focusing on scaling leading edge epitaxial technology for high volume manufacturing for wireless applications.
In 2012, Rodney was appointed as head of R&D for the IQE Group and was tasked with creating unique materials solutions that enable IQE’s customers and provided them with a competitive edge. Throughout his career, Rodney has been involved in numerous new product introductions, including IQE’s highly successful launch of 6” VCSELs for consumer applications.
Rodney is a chemical engineer by training and graduated from the University of Colorado in 1995 with a bachelor’s degree (high distinction) in Chemical Engineering and a PhD in Chemical Engineering (surface chemistry of semiconductors, GaAs and Si) from the University of California in 2000. He is a Chartered Engineer, Chartered Scientist, and a Fellow of the Institution of Chemical Engineers, with his work widely published and a co-inventor of 30+ patents.
Company Profile
IQE is the leading supplier of compound semiconductor wafer products and advanced material solutions to the global semiconductor industry that enable a diverse range of applications across mobile handsets, global telecoms infrastructure, smart connected devices, electric vehicles, infrared and sensing applications.
Kamel Ait Mahiout
Kamel Ait Mahiout is a seasoned professional with over 30 years of experience in the electronics industry. His expertise spans from RF and Microwave engineering to executive roles in prominent companies such as Unity SC and Amkor Technology, where he significantly contributed to the growth and alignment of the businesses with key industry players.
08:35 – 08:55
Greater Phoenix: Semiconductor Excellence on the Global Stage
Camacho presents the industry’s recent boom and how Greater Phoenix is harnessing its strengths to become a magnet for innovation and investment, furthering its position as a globally leading semiconductor market.
Chris Camacho
Greater Phoenix Economic Council (GPEC)
Chris Camacho serves as president & CEO of the Greater Phoenix Economic Council (GPEC), one of the longest-standing public-private partnerships for economic development across the country. As chief executive, Chris leads the development and execution of the region’s strategic economic strategy, oversees domestic and international business development, and ensures the market position remains competitive through coordination with partner organizations, private sector leaders, and municipal and state leadership. GPEC has attracted more than 540 companies during his tenure, creating more than 100,000 jobs and $56.8 billion in capital investment. Some notable projects include TSMC, Apple, LG Energy Solutions, Microsoft, GoDaddy, Amazon, Garmin, General Motors, HelloFresh, KORE Power, Williams-Sonoma and headquarters including Benchmark Electronics, Carlisle Companies, Rogers Corporation and EMD Electronics. In October 2021, Chris led GPEC to being recognized as the top economicdevelopment organization globally by the International Economic Development Council a year after being named the top EDO in the U.S. in 2020.
Company Profile
Established in 1989, the Greater Phoenix Economic Council (GPEC) actively works to attract and grow quality businesses and advocate for the competitiveness of Greater Phoenix. As the regional economic development organization, GPEC works with 22 member communities, Maricopa County and almost 200 private investors to accomplish its mission, and serve as a strategic partner to companies across the world as they expand or relocate. Consistently ranked as a top national economic development organization, GPEC’s approach to connectivity extends beyond the fabric of the community. Known as The Connected Place, Greater Phoenix is in a relentless pursuit of innovative and entrepreneurial technology-focused companies that are committed to changing the game. As a result, over the past 32 years GPEC has fueled the regional economy by helping more than 895 companies, creating more than 163,000 jobs and $33.4 billion in capital investment.
08:40 – 09:00
xEVs – From Power Semiconductors to the Power Ecosystem
With the advent of competing power semiconductor technologies such as IGBTs, SiC and GaN, there are no dearth of options for customers to select the best solution in terms of cost and performance. However, as xEVs are essentially computers on wheels, the overall supply chain complexity has gone up tremendously. This can be exacerbated while building systems such as traction inverters or OBCs as the power semiconductors need to work well with the overall eco-system (MCUs, controllers, gate drivers etc.) from a performance point of view, while also allowing for multiple vendors to be part of the procurement strategy. This talk will focus on some of the key aspects of a power electronic ecosystem for xEVs and how that is expected to evolve in the future.
Avinash Kashyap Ph.D
Dr. Avinash (Avi) Kashyap is the VP & GM of the Discrete & Wide Bandgap Power BU at Renesas Electronics. He leads a global organization, responsible for P&L, engineering, marketing, applications and revenue generation of power devices incl. SiC, IGBTs, MOSFETs and GaN for the automotive and industrial markets.
Prior to his current role, he was Director of Silicon Carbide and Power Discretes at Microchip Technology where he led engineering and marketing groups focused on product lines that included SiC & Si FETs and diodes, rad-hard devices and RF power switches.
Previously, Dr. Kashyap was leading several power device programs at the GE Global Research Center in Niskyuna, NY. He has been involved in the development of SiC technology since its infancy for 2 decades including pioneering work on compact modeling, SiC integrated circuits and radiation-hardened devices. He has authored more than 35 peer-reviewed publications and has over 20 patents granted or pending. Dr. Kashyap holds an MS & PhD in electrical engineering from the University of Arkansas, Fayetteville. He is a senior member of the IEEE and a member of the Arkansas Academy of Electrical Engineers.
08:40 – 09:10
Keynote
Google AI and AI for Semiconductor Industry in Taiwan
Jason Ma, Ph.D.
As the Engineering Director, Jason Ma oversees Google Taiwan’s site growth, business management and development, as well as leads multiple R&D projects across the board. Before taking this leadership role at Google Taiwan, Jason was a Platform Technology and Cloud Computing expert in the Platform & Ecosystem business group at Google Mountain View, CA. In his 12 years with Google, Jason has successfully led strategic partnerships with global hardware and software manufacturers and major chip providers to drive various innovations in cloud technology. These efforts have not only contributed to a substantial increase in Chromebook’s share in global education, consumer and enterprise markets, but have also attracted global talents to join Google and its partners in furthering the development of hardware and software technology solutions/services.
Prior to joining Google, Jason served on the Office group at Microsoft Redmond, WA. He represented the company in a project, involving Merck, Dell, Boeing, and the United States Department of Defense, to achieve solutions in unified communications and integrated voice technology. In 2007, Jason was appointed Director of the Microsoft Technology Center in Taiwan. During which time, Jason led the Microsoft Taiwan technology team and worked with Intel and HP to establish a Solution Center in Taiwan to promote Microsoft public cloud, data center, and private cloud technologies, connecting Taiwan’s cloud computing industry with the global market and supply chain.
Before joining Microsoft, Jason was Vice President and Chief Technology Officer at Soma.com. At Soma.com, Jason led the team in designing and launching e-commerce services, and partnered with Merck and WebMD on health consultation services and over the counter/prescription drugs/services. Soma.com was in turn acquired by CVS, the second largest pharmacy chain in the United States, forming CVS.com, where Jason served as Vice President and Chief Technology Officer and provided solutions for digital integration.
Jason graduated from the Department of Electrical Engineering at National Cheng Kung University, subsequent which he moved to the United States to further his graduate studies. In 1993, Jason obtained a Ph.D. in Electrical Engineering from the University of Washington, with a focus in the integration and innovation of power systems and AI Expert Systems. In 1997, Jason joined the National Sun Yat-sen University as an Associate Professor of Electrical Engineering. To date, Jason has published 22 research papers and co-authored 2 books. Due to his outstanding performance, Jason was nominated and listed in Who’s Who in the World in 1998.
Company Profile
A problem isn’t truly solved until it’s solved for all. Googlers build products that help create opportunities for everyone, whether down the street or across the globe. Bring your insight, imagination and a healthy disregard for the impossible. Bring everything that makes you unique. Together, we can build for everyone.
08:45 – 09:05
Keynote
How will Generative AI shape the future of MEMS Microphones?
In the present era, the utilization of AI in diverse applications is steadily increasing, contributing to strength individuals’ efficiency. Tools like ChatGPT play a pivotal role in enhancing people productivity by engaging users through text or voice inputs. Notably, Infineon’s high-performance silicon microphones enable seamless speech interaction with Natural Language Processing assistants. Prior to being processed by Large Language Models, audio data undergoes Speech-to-Text (STT) conversion, a crucial task to ensure accurate input for AI systems. STT operates by breaking down speech into “phonemes” and utilizing spectral comparison to transcribe the audio. Infineon’s initial trials evaluate the significance of high Signal-to-Noise Ratio (SNR) in applications like laptops interpreting the Error Word Rate. Furthermore, in True Wireless Stereo (TWS) and Smart-VR glasses devices, the fusion of AI with Infineon’s Vibration sensor shows the potential to revolutionize current architectures, delivering clear phone calls even any environmental conditions and outperforming current solutions.
Aldo Bruno
Infineon Technologies AG
Aldo Bruno is the Technical Lead MEMS Sensor System Competence Team within the application engineering at Infineon Technologies, specializing in consumer sensors. Before Infineon, he held the role of Digital ASIC Designer at Cirrus Logic UK, where he honed his expertise in DSP, digital filters, audio codec systems, voice activity detection, and speech enhancement algorithms. In 2018, while completing his master’s thesis at Infineon Technologies, he concentrated on the development of ANC systems to explore the potential enhancements Infineon MEMS microphones could bring. Throughout his career and personal pursuits, Aldo’s passion for audio and acoustics has been a driving force since he´s also a musician and music producer.
Company Profile
Here at Infineon, we combine entrepreneurial success with responsible action to make life easier, safer, and greener. Barely visible, semiconductors have become an indispensable part of everyday life. We play a key role in shaping a better future – with microelectronics that link the real and the digital world. Our semiconductors enable efficient energy management, smart mobility, as well as secure, seamless communications in an increasingly connected world. Infineon designs, develops, manufactures and markets a broad range of semiconductors and system solutions. The focus of its activities is on automotive and industrial electronics, communication and information technologies, IoT, sensor technology and security. The product range comprises standard components, software, customer-specific solutions for devices and systems, as well as specific components for digital, analog, and mixed-signal applications.
08:45 – 09:10
Keynote
The Challenge of Speed – The Rapidus Model for a New Manufacturing Era
As the miniaturization of advanced logic processes continues, the time from design to commercialization is lengthening.
This is due to the increasing difficulty of the manufacturing process, design, and verification associated with the growing complexity of device structures. However, LSIs, such as processors and accelerators for AI, are evolving at a rapid pace and must be commercialized in a short period of time to meet time- to-market requirements. We will introduce the Rapidus model, which solves this problem and achieves short TAT manufacturing.
Kazunari Ishimaru
Rapidus
Joined Toshiba, Semiconductor Device Engineering Labs. in 1988 and engaged in development of advanced SRAM/Logic technologies. 2006-2010: engaged in development of 32nm~20nm CMOS platform technologies with IBM as Toshiba’s representative (VP of R&D). 2013 Senior Manager of Advanced Memory Technology Development Dept. 2022 Director of Memory Technology R&D Center. Joined Rapidus Corporation in April 2023.
08:55 – 09:15
Keynote
MEMS inertial sensors for navigation applications
Northrop Grumman LITEF started the development of micro-electromechanical systems (MEMS) gyroscope chips with Deep Reactive Ion Etching (DRIE) in 2003. Based on this technology, a six degree of freedom MEMS IMU was developed for navigation applications. After successful transfer from early MEMS IMU prototypes to series production, NG LITEFs IMU is available since many years with a specified bias error of 4 deg/h and an angular random walk (ARW) of 0.15 deg/sqrt(h) over temperature. Recently an European Technical Standard Order (ETSO) for the MEMS based Attitude Heading Reference System (AHRS) LCR-350B was received, so that NG LITEF is able to supply the first purely MEMS based AHRS worldwide to the avionic helicopter and fixed-wing market. Next generation avionic systems require even higher performing MEMS sensors. Northrop Grumman LITEF is addressing these needs with the next generation MEMS sensors.
Stefan Rombach
Northrop Grumman LITEF GmbH
Stefan Rombach received the Phd. degree in microsystems engineering from the University of Freiburg, Freiburg, Germany. He was working as a member of the scientific staff at the chair of microelectronics in the field of readout interfaces for MEMS inertial sensors and MEMS micro-mirrors based on low-power system design and Delta-Sigma modulation. Since 2018, he has been with Northrop Grumman LITEF, Freiburg, Germany, where he is working as Head of the MEMS department in the field of inertial sensor systems development.
Company Profile
LITEF is one of the leading companies in the development and manufacturing of navigation and sensor systems. The company’s expertise is based on German technology for mechanical, fibre optic and micromechanical inertial sensors. This enables ITAR-free distribution of LITEF products around the globe.
Founded in 1961 and headquartered in Freiburg im Breisgau (Germany), the company’s product range includes MEMS sensors based inertial measurement units, attitude and heading reference systems, inertial navigation systems and inertial reference systems. In close dialogue with the customer, specific product solutions are developed for measurement and navigation tasks with maximum precision and reliability requirements.
Company Products & Services
LITEF inertial navigation products, like MEMS sensors, MEMS inertial measurement units, MEMS based attitude and heading reference systems, are in use worldwide with applications ranging from civil and military aviation, land and marine applications to industrial solutions.
08:55 – 09:15
EV market trends and the status-quo of automotive power semiconductors.
Stefan Obersriebnig
Infineon Technologies AG
Stefan Obersriebnig is Senior Vice President and global business responsible for Infineon Technologies’ automotive module business. During his tenure in various senior-management roles within Infineon, he has had a strong focus on driving the adoption of new Wide-Bandgap devices in the automotive, industrial, and consumer space.
Before joining Infineon, Stefan worked at Siemens Management Consulting in Germany, USA, and lastly as Principal for 3 years in China. He focused on international growth, organizational transformation & cultural change, as well as turnaround & restructuring.
Stefan holds an MBA from IESE Business School (Spain) and a MSc (Honors) in Environmental Engineering from Leoben University (Austria). With his deep connection to nature and the environment, Stefan is passionate about driving Decarbonization & Digitalization for Infineon’s customers.
Company Profile
Here at Infineon, we combine entrepreneurial success with responsible action to make life easier, safer, and greener. Barely visible, semiconductors have become an indispensable part of everyday life. We play a key role in shaping a better future – with microelectronics that link the real and the digital world. Our semiconductors enable efficient energy management, smart mobility, as well as secure, seamless communications in an increasingly connected world. Infineon designs, develops, manufactures and markets a broad range of semiconductors and system solutions. The focus of its activities is on automotive and industrial electronics, communication and information technologies, IoT, sensor technology and security. The product range comprises standard components, software, customer-specific solutions for devices and systems, as well as specific components for digital, analog, and mixed-signal applications.
08:55 – 09:15
Advanced Packaging and Disaggregated Architectures for Automotive
The recent growth in ADAS and Autonomous Driving has brought a new focus to automotive compute while simultaneously, the introduction of Software Defined Vehicles has seen a consolidation of automotive compute into a centralized architecture – a stark departure of previous distributed zonal architectures. These recent developments have brought great interest and focus into the deployment of advanced packaging and the adoption of “chiplets” through heterogenous architectures.
This talk will address the areas of focus for adopting advanced packaging in automotive use cases as well as put forward a pragmatic approach for introducing chiplets and disaggregated architectures for autonomous driving and ADAS features. Lastly, the talk will cover some critical areas of development and roadblocks for adoption into L4+ systems – such as interposer qualification, material qualifications, and an open chiplet ecosystem.
Bassam Ziadeh
General Motors
Bassam is a Global Technical Specialist at General Motors, where he is responsible for defining a strategic roadmap for advanced automotive semiconductor packaging—essential for achieving GM’s role in Autonomous Driving and Software Defined Vehicle compute. Bassam’s expertise lies in Advanced Packaging, Chip and Product Architecture, OSAT and Industry Engagement, and successful product ramps. He is actively involved with IMEC and the UCIe for commercialization of these ventures. Previously, as a Senior Technologist of Advanced Packaging at Intel for 12 years, Bassam pioneered key technologies and process steps for 2.5D and 3D products for data center and client applications such as EMiB and Foveros. He holds degrees in Mechatronics and Mechanical Engineering and has contributed extensively to the field through numerous publications, patents, and conference proceedings on advanced packaging and automotive compute.
Company Profile
General Motors is a global company focused on advancing an all-electric future that is inclusive and accessible to all. At the heart of this strategy is the Ultium battery platform, which powers everything from mass-market to high-performance vehicles. General Motors, its subsidiaries and its joint venture entities sell vehicles under the Chevrolet, Buick, GMC, Cadillac, Baojun and Wuling brands. More information on the company and its subsidiaries, including OnStar, a global leader in safety services and connected vehicle technology, can be found at https://www.gm.com.
08:55 – 09:15
Raw material impact on the transition to 200mm SiC device production
In recent years the amount of available and suitable SiC substrates was limited and, hence, causing high effort to secure sufficient amount of raw material for the growth plans on 150mm device manufacturing. The transition to 200mm SiC device production has started and questions arise on the stable availability, the maturity, the price, the geopolitical situation for raw materials and the technological innovations around 200mm SiC raw material.
Certain raw material players are intensively focusing on the transition to 200mm, others are still busy with ramping up 150mm raw material manufacturing capacity. Defect density and material quality investigations show a correlation between the suppliers’ efforts on 200mm crystal growth development and the outcome. This allows to speculate and to set up a prediction on the raw material situation in the next 3-5 years.
Dr. Siegmar Schoser
Bosch
After graduation in physics at the university of Constance and obtaining a doctoral degree from the university of Augsburg, Siegmar Schoser joined the Robert Bosch GmbH in 1998. With a broad field of experience in various positions in MEMS manufacturing, automotive customer project management for parking assist systems, senior management of ECU development for door and tailgate modules as well as blower controller electronics, he joined the power semiconductor and power module area of Bosch in 2012. Today he is directing a project team on cooperation and external sourcing with a strong focus on silicon carbide related topics.
Company Profile
The Bosch Group is a leading global supplier of technology and services. It employs roughly 428,000 associates worldwide (as of December 31, 2023). According to preliminary figures, the company generated sales of 91.6 billion euros in 2023. Its operations are divided into four business sectors: Mobility, Industrial Technology, Consumer Goods, and Energy and Building Technology. As a leading IoT provider, Bosch offers innovative solutions for smart homes, Industry 4.0, and connected mobility. Bosch is pursuing a vision of mobility that is sustainable, safe, and exciting. It uses its expertise in sensor technology, software, and services, as well as its own IoT cloud, to offer its customers connected, cross-domain solutions from a single source. The Bosch Group’s strategic objective is to facilitate connected living with products and solutions that either contain artificial intelligence (AI) or have been developed or manufactured with its help. Bosch improves quality of life worldwide with products and services that are innovative and spark enthusiasm. In short, Bosch creates technology that is “Invented for life.” The Bosch Group comprises Robert Bosch GmbH and its roughly 470 subsidiary and regional companies in over 60 countries. Including sales and service partners, Bosch’s global manufacturing, engineering, and sales network covers nearly every country in the world. The basis for the company’s future growth is its innovative strength. At 136 locations across the globe, Bosch employs some 90,000 associates in research and development, of which roughly 48,000 are software engineers.
08:56 –
Opening Ceremony Overview of the Southeast Asian Semiconductor Industry Landscape Strategic Focus: Aligning with Malaysia’s Investment Strategy
09:00 – 09:30
Keynote
Chiplet Ecosystem Acceleration
The speaker will share the work of TSMC in Chiplet Ecosystem acceleration by following outlines.
Outlines:
K.C. Hsu
TSMC
Mr. K.C. Hsu is Vice President of Integrated Interconnect & Packaging at Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), responsible for the development of TSMC’s system integration technologies, including 3D IC and advanced packaging. He possesses more than 30 years’ experience in the semiconductor industry.
Mr. K.C. Hsu received his B.S. in Physics from National Taiwan University and his M.S. in Technology Management from National Chiao Tung University.
Company Profile
TSMC pioneered the pure-play foundry business model when it was founded in 1987, and has been the world’s leading dedicated semiconductor foundry ever since. The Company supports a thriving ecosystem of global customers and partners with the industry’s leading process technologies and portfolio of design enablement solutions to unleash innovation for the global semiconductor industry. With global operations spanning Asia, Europe, and North America, TSMC serves as a committed corporate citizen around the world.
TSMC deployed 288 distinct process technologies, and manufactured 11,878 products for 522 customers in 2024 by providing the broadest range of advanced, specialty and advanced packaging technology services. The Company is headquartered in Hsinchu, Taiwan. For more information please visit https://www.tsmc.com.
09:00 – 09:10
Opening Videos 宣传片播放
09:00 – 09:30
Keynote
GenAI in Semiconductor Manufacturing
Breakthrough in AI capabilities will revolutionize every aspect of human life, from autonomous vehicles, healthcare, natural disaster prediction to smart manufacturing. As AI model parameters increase, the model capability and accuracy increases, but the complexity requires more memory for training. Micron’s high bandwidth memory products lead the industry for AI workloads. Moreover, Micron is harnessing the power of AI to transform our business. From close to 10 years of AI/ML journey, Micron has demonstrated significant gains across manufacturing operation and business functions use cases, e.g., AI powered scheduling and simulation, AI assisted virtual engineer, image analytics for process control and yield improvement and AI powered productivity solutions, etc..
Koen De Backer
Micron Technology, Inc.
Koen De Backer is the Corporate Vice President of smart manufacturing and artificial intelligence at Micron Technology. He is responsible for driving Micron’s smart manufacturing initiatives and digital operations including capabilities with IoT, artificial intelligence, advanced analytics, cognitive computing and machine learning to enhance our business, global operations and product development.
Prior to joining Micron, Koen led large-scale operations projects for more than a decade to help clients reduce inefficiencies and achieve excellence in manufacturing, procurement, supply chain and support functions. Most recently, Koen was a Partner at McKinsey & Company where he steered the semiconductor consulting practice in Southeast Asia and was one of the firm’s leading experts on applying artificial intelligence and automation techniques across operations and support functions such as finance, human resources and procurement. Additionally, Koen consulted with high-tech global clients while working at Deloitte Consulting, Altran Europe and CSC.
Koen holds a master’s degree in business administration from INSEAD and master’s degrees in both industrial management and electromechanical engineering from Katholieke Universiteit Leuven.
Company Profile
Micron is a world leader in innovative memory solutions that transform how the world uses information. For over 40 years, our company has been instrumental to the world’s most significant technology advancements, delivering optimal memory and storage systems for a broad range of applications.
09:00 – 09:30
Keynote
Advanced Packaging: Navigating System Technology Co-optimization & Embracing Innovation
The global demand for computing is undergoing a notable shift, propelled by factors such as AI/ML, the imperative for ubiquitous connectivity, and a steadfast focus on energy efficiency. In line with the industry’s unwavering commitment to continuous innovation at Moore’s Law cadence, a system technology co-optimization (STCO) approach has been adopted. Consequently, the boundaries between silicon technology and advanced packaging are becoming increasingly blurred.
This keynote delves into the advanced packaging toolset encompassing scaling, materials, testing, and standardization, available to the advanced packaging ecosystem as it navigates the STCO era of Moore’s Law. The importance of sharing ecosystem expertise and ideas across silicon and advanced packaging is underscored for unlocking industry-disrupting benefits. Furthermore, the keynote showcases current innovations aimed at tackling system-level challenges such as bandwidth, energy efficiency, power density, and thermal management.
Dr. Ann Kelleher
Intel Corporation
Dr. Ann Kelleher is the executive vice president and general manager of Technology Development at Intel Corporation. Since 2020, she is responsible for the research, development and deployment of the next-generation silicon, advanced packaging, and test technologies that power Intel’s innovation. She joined Intel in 1996 as a process engineer and has worked in areas spanning from litho, thin films, yield, to managing all of Intel’s Global operations including Fab and Assembly Test factories, supply chain and construction. She did her Ph.D. in electrical engineering from University College Cork in Ireland and her post-doc at IMEC.
Company Profile
Intel (Nasdaq: INTC) is an industry leader, creating world-changing technology that enables global progress and enriches lives. Inspired by Moore’s Law, we continuously work to advance the design and manufacturing of semiconductors to help address our customers’ greatest challenges. By embedding intelligence in the cloud, network, edge and every kind of computing device, we unleash the potential of data to transform business and society for the better.
To learn more about Intel’s innovations, go to newsroom.intel.com and intel.com.
09:00 – 09:05
Welcome Speech by Government 政府开幕致辞
09:00 – 09:25
Keynote
Glass Core Substrate: Next Gen Advanced Packaging Technology
Advanced packaging is enabling unprecedented levels of product performance as logic and memory chiplets are connected in unique architectures merging back-end silicon fabrication with package assembly. To meet future scaling, high speed signaling and power delivery needs, the package substrate must evolve beyond the capabilities offered by organic substrates. Glass substrates contain the
mechanical, physical and optical properties that allow for more transistors to be delivered in a package, providing better scaling and enabling the assembly of larger chiplet complexes. Intel is driving glass substrate technology and supply chain for advanced packaging solutions and plans to deliver this breakthrough innovation to the market in the second half of this decade.
Hamid Azimi, Ph.D.
International Semiconductor Industry Group (I.S.I.G.)
Dr Hamid Azimi, formerly Corporate VP, Director of Substrate Packaging TD of Intel. He was responsible for advanced substrate packaging for all Intel logic products across substrate suppliers’ factories, as well as the company’s two internal substrate R&D factories. These R&D factories are the birthplace of panel level die embedding technology and play a crucial role for enabling EMIB, the key technology to Intel’s data-centric business and heterogenous packaging. His team works with equipment, material, chemical and substrate suppliers to develop Si-fab backend-like technologies for panel level advanced packaging, and transfer technologies to Intel supplier factories to meet the demand of future Intel products.
Company Profile
Established in 2010, the International Semiconductor Industry Group (ISIG) is a prestigious and trusted global platform, known for fostering collaboration and driving innovation across the semiconductor industry. With a strong foundation through its International Semiconductor Executive Summits (I.S.E.S.), ISIG orchestrates influential regional summits across the U.S., Middle East, Europe and Asia, fully endorsed by local governments and leading companies throughout the semiconductor supply chain.
At ISIG, we are more than just event organizers—we serve as a catalyst for shaping the future of the semiconductor industry. Through high-level executive recruitment, expert consultation, and strategic investor engagement, ISIG empowers global collaboration, helping industry leaders connect, collaborate, and innovate. Our vision is to create a trusted network that transcends borders and disciplines, uniting government officials, academic experts, and investors to tackle the most pressing challenges and seize the greatest opportunities in the semiconductor ecosystem.
Together, we ensure the semiconductor industry remains at the forefront of technological advancement and economic growth, shaping a sustainable future for the global market.
Ellen Wendelin-Loh
I.S.E.S.
Ellen Wendelin is the General Manager of the International Semiconductor Executive Summit Southeast Asia (I.S.E.S. SEA), a role in which she excels at bringing together industry leaders to foster collaboration within the semiconductor sector. With a passion for orchestrating high-profile summits that merge innovation, strategy, and growth, Ellen is known for her expertise in event management, business development, and networking. She has successfully led initiatives that connect semiconductor executives globally, creating strategic partnerships and opportunities that drive the future of the industry. Her work is centered on delivering exceptional event experiences and fostering meaningful dialogue among stakeholders.
Company Profile
Established in 2010, the International Semiconductor Industry Group (I.S.I.G.) is a prestigious and trusted association within the semiconductor industry, renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain.
Moreover, I.S.E.S. serves as the Premier platform for senior executives in technology, manufacturing, and R&D from diverse semiconductor companies, technology providers, and affiliated industries. Our events are instrumental helping to shed light onto key industry trends, drive innovation and influence key decisions to help shape, and advance the growth of the semiconductor sector. Join us today!
09:05 – 09:25
GaN – Accelerating growth across the power spectrum with an ecosystem strategy
GaN technology is now rapidly penetrating power conversion markets across the power and voltage range. To become a mainstream power platform and exceed the market expectations of over $2 Billion by 2028, GaN and the customers adopting GaN in high volumes will demand and benefit by:
a) Robust, cost effective, easy to use high-performance GaN products
b) Ecosystem synergy with ICS – controllers/drivers and packaging
c) Scale – for operations and manufacturing.
With a clear role in the market in areas like infrastructure & computing, e-mobility and automotive, renewables & industrial, and the consumer space with unique solutions like bi-directional switch (BDS), not easily possible otherwise, GaN offers the highest performance among today’s power semiconductors. However, the true power of GaN is unleashed when it is integrated with other controllers and drivers as part of an overall surrounding ecosystem, including superior packaging.
We will review our robust normally off d-mode GaN FET, providing leading performance, robustness, versatility of use with its ability to capitalize on the inherent advantages of the GaN material that has allowed us to enable customer solutions from 30 Watts to 7.5 kilo Watts. With over 350 billion hours in the field uniquely encompassing both low power and high power our GaN is available in one of the widest package portfolios in GaN ranging from PQFNs to TO-leaded (not typically possible with competing GaN due to inherent weakness), to TO-leadless. Lastly, winning combinations enabled by bringing together high-performance controllers and drivers with our GaN will be discussed.
With in-house GaN technology via the acquisition of Transphorm, coupled with AC-DC ICs and more broadly one of the strongest micro-controller portfolios in the industry, Renesas can offer and reduce time to market for complete power solutions that save energy, reduce costs, and offer a one-stop solution for users.
Primit Parikh, Ph.D.
Renesas Electronics
Primit leads GaN business at Renesas, following its recent acquisition of Transphorm Inc., where he was the Co-founder, President and CEO. Prior to this, he led Transphorm, a pioneer and leader in GaN Power Semiconductors, having grown it from inception to leading its public company listing (Nasdaq/TGAN) and transformation partnering with it’s phenomenal team. He is passionate about creating value from the intersection of business and technology. With over 25 years of semiconductor & entrepreneurial experience, his background includes capital raises, international markets & strategic partnerships, key customer relationships, products & manufacturing, IP, GaN/Semi technology and Government contracting. Prior to Transphorm, Primit led GaN electronics at Nitres Inc. through its acquisition by Cree, where he led GaN development and government business P&L. Primit received his B.Tech. in EE from IIT, Mumbai and his MS & Ph.D. in Electrical and Computer Engineering from UCSB. He has more than 40 patents awarded and co-authored more than 75 publications and presentations. He is blessed to reside in the amazing Santa Barbara area with his wonderful family.
Company Profile
Renesas Electronics empowers a safer, smarter and more sustainable future where technology helps make our lives easier.
A leading global provider of microcontrollers, Renesas combines our expertise in embedded processing, analog, power and connectivity to deliver complete semiconductor solutions. These Winning Combinations accelerate time to market for automotive, industrial, infrastructure and IoT applications, enabling billions of connected, intelligent devices that enhance the way people work and live.
Salah Nasri
I.S.E.S.
Salah Nasri is the CEO & Co-Founder of the International Semiconductor Executive Summits (ISES), a division of the International Semiconductor Industry Group Ltd. an influential organization within the semiconductor industry. With extensive experience in the sector, Nasri has played a pivotal role in fostering global collaboration among semiconductor leaders. Under his leadership, ISES has become a premier platform for industry executives to connect, share insights, and drive innovation across various regions including the United States, Europe, Asia, and the Middle East.
Salah Nasri has been instrumental in expanding the reach and impact of ISES, organizing significant events that bring together decision-makers from across the semiconductor ecosystem. These events provide opportunities for networking, collaboration, and the exchange of ideas crucial for advancing the industry in areas such as semiconductor manufacturing, MEMS, AI, automotive electronics, and more.
His leadership has not only enhanced the visibility of ISES globally but also strengthened partnerships with key industry players, ensuring that ISES remains at the forefront of semiconductor innovation and development. Salah Nasri has previously worked at Goldman Sachs’s, Credit Suisse and International Business Development Group. Salah Nasri graduated from Oxford University and Loughborough University in International Relations and Economics. In 2024, Salah Nasri became a Stanford University Alumni after completing the Stanford Executive Program.
Company Profile
Established in 2010, the International Semiconductor Industry Group (I.S.I.G.) is a prestigious and trusted association within the semiconductor industry, renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain.
Moreover, I.S.E.S. serves as the Premier platform for senior executives in technology, manufacturing, and R&D from diverse semiconductor companies, technology providers, and affiliated industries. Our events are instrumental helping to shed light onto key industry trends, drive innovation and influence key decisions to help shape, and advance the growth of the semiconductor sector. Join us today!
09:10 – 09:15
Introduction of Government Officials and VIPs 介绍与会领导 及嘉宾
ST Liew
Qualcomm Technologies Inc.
ST Liew is a Vice President of Qualcomm Technologies, Inc. and the President of Qualcomm Taiwan and South East Asia, Australia, New Zealand. ST was born in Malaysia and was educated in Malaysia, Singapore and the UK. His permanent home is Singapore.
In this role, ST is responsible for leading all business and operational functions for Qualcomm in the region. Prior to this role, ST served as the Vice President and President of Qualcomm Taiwan.
ST has more than 30 years of experience leading businesses and R&D in the telecommunication industry. Most recently ST was the President of Acer’s new Business Group SPBG that focused on R&D and Sales of non PC lines of product for the global market while living in Switzerland and later in Taiwan.
Before joining Acer, ST was in Motorola for over 18 years leading Regional R&D Teams and later in Product planning, business Teams across the world in products ranging from Mobile radios, Pagers, Data terminals to Cellular phones. ST has lived in China, Korea, USA and India during his tenure.
Liew received his MBA from the National University of Singapore, and holds a BSc. in Electrical and Electronic Engineering from the University of Leeds, UK.
Company Profile
Qualcomm is the world’s leading wireless technology innovator and the driving force behind the development, launch, and expansion of 5G. When we connected the phone to the internet, the mobile revolution was born. Today, our foundational technologies enable the mobile ecosystem and are found in every 3G, 4G and 5G smartphone. We bring the benefits of mobile to new industries, including automotive, the internet of things, and computing, and are leading the way to a world where everything and everyone can communicate and interact seamlessly.
09:10 – 09:30
MEMS speakers – the ideal earphone tweeters
In this presentation, Jakob will provide an overview of the MEMS loudspeaker industry from USound’s perspective, highlighting interesting trends. A focus will be placed on the potential of MEMS speakers as tweeters in 2-way earphones. Jakob will explain the technical advantages MEMS bring to this specific application and how these advantages benefit the market and ultimately enhance the user experience.
Jakob Spoetl
Usound
Jakob Spötl works as head of acoustics in the R&D department of USound. He is one of the first employees of USound and joined the company in 2015, right after finishing his studies in electrical and audio engineering at the TU Graz in Austria. His main interest was (and still is) the multidisciplinary; combining knowledge from different fields. Therefore, his main expertise lies in understanding and modeling of the interplay between different physical domains (electronics, mechanics, and acoustics). Combining this with real-world applications and the resulting psychoacoustic experience is what he thrives on.
Company Profile
USound GmbH is a fast-growing company that develops advanced audio solutions based on MEMS speaker technology. Headquartered in Austria and with offices in Shenzen, China, USound enables its global customers to bring new revolutionary audio products to the market.
USound is disrupting the audio industry, setting new standards in audio experience. The company achieves this through radical miniaturization, power reduction, and increased production efficiency. USound MEMS speakers are ideal for state-of-the-art TWS earphones, IEMs, audio and AR/VR glasses, OTC hearing aids, and various consumer electronics products. The company’s audio products are safeguarded by over 370 patents.
Leadership:
Ferruccio Bottoni, CEO & Co-Founder
Andrea Rusconi, CTO & Co-Founder
Herbert Gartner, CFO & Chairman
Company Products & Services
USound provides advanced audio solutions based on MEMS technology. USound’s products include MEMS speakers, audio modules, amplifiers, software, evaluation kits and reference designs. USound MEMS speakers, in particular, are distinguished for their small size, lightweight components, and unparalleled audio performance. They are the ideal solution for a wide variety of applications, from TWS earphones and OTC hearing aids to AR/VR glasses and medical devices.
09:15 – 09:40
Welcome Address * 3 领导致辞
09:15 – 09:40
Keynote
Renesas’ Technology Strategy for a Paradigm Shift in the Semiconductor Industry
The semiconductor industry is in the midst of a paradigm shift together with its applied systems triggered by digitalization accelerated by AI technology evolutions in all the systems like evident trends of electrifications and SDV (Software Defined Vehicle) in the automotive industry. As the magnitude and complexity of its applied systems grow exponentially, semiconductor solutions need to integrate more, execute faster with less power, and realize users much better development productivity. Renesas believes the challenge is resolved by building system-oriented solutions for integrations and improving UX (User Experience) values like easier to develop for users. The keynote speech addresses Renesas’ attempts with innovative technologies like chiplet and digitalization/virtualization for system development environment.
Shinichi Yoshioka
Renesas Electronics
Mr. Yoshioka serves as the Senior Vice President and CTO at Renesas. He was appointed to these roles in August 2019, from his experience and technological expertise of the products and the market following the years he has dedicated to Renesas.
He began his career in Hitachi, Ltd in 1986. Since Renesas Electronics Corporation was established in 2010 based on Hitachi, Mitsubishi Electric, and NEC Electronics, he has held many key roles, such as the Vice President of Automotive Control and Analog & Power Systems Business Division, Safety Solution Business Division, and the Senior Vice President of the Automotive Solutions Business Unit.
He has a Bachelor of Engineering degree in Applied Physics from the University of Tokyo and graduated from Stanford University with a Master of Science in Electrical Engineering.
Company Profile
Renesas Electronics empowers a safer, smarter and more sustainable future where technology helps make our lives easier.
A leading global provider of microcontrollers, Renesas combines our expertise in embedded processing, analog, power and connectivity to deliver complete semiconductor solutions. These Winning Combinations accelerate time to market for automotive, industrial, infrastructure and IoT applications, enabling billions of connected, intelligent devices that enhance the way people work and live.
09:20 – 09:45
Opening Speeches
Overview of the Southeast Asian Semiconductor Industry Landscape
Strategic Focus: Aligning with Malaysia’s Investment Strategy
Zalina Zainol
MIDA
Puan Zalina Zainol is the Deputy Chief Executive Officer of Investment Development at the Malaysian Investment Development Authority (MIDA), the country’s premier agency that creates new pathways for growth and development in the manufacturing and services sectors.
With a career spanning almost three decades at MIDA, she has held various senior positions, including Executive Director of Corporate Management Services, Executive Director of Strategic Planning (Services), and Director of the Corporate Communications Division.
Puan Zalina’s extensive experience also includes international postings, which have further enhanced her global perspective and understanding of investment trends. She served in MIDA’s New York and Boston offices, where she played a crucial role in attracting foreign direct investment to Malaysia.
As MIDA’s Deputy Chief Executive Officer for Investment Development, she continues to spearhead initiatives that support the country’s economic transformation and foster a vibrant and sustainable business environment.
Company Profile
MIDA is the government’s principal investment promotion and development agency under the Ministry of Investment, Trade and Industry (MITI) to oversee and drive investments into the manufacturing and services sectors in Malaysia. Headquartered in Kuala Lumpur Sentral, MIDA has 12 regional and 21 overseas offices. MIDA continues to be the strategic partner to businesses in seizing the opportunities arising from the technology revolution of this era.
Yb Jagdeep Singh Deo A/L Karpal Singh
Penang State Government
He holds a Degree in Law from University of Warwick, United Kingdom and admitted as an Advocate and Solicitor of the High Court in Malaya, practicing criminal law at Karpal Singh & Co. in Penang.
Presently, YB Tuan Jagdeep was the Deputy Chief Minister II of Penang and oversees the portfolio of Human Capital Development, Science, and Technology in the State after elected as the member of State Assemblyman of Penang (ADUN) in August 2023. He joined Democratic Action Party (DAP) in 1994 and started his journey as an elected representative of the people since 2008. In 2008 and 2013, he was elected as ADUN in Penang and, he was appointed as Penang State Executive Councillor (Exco) for Housing and Town and Country Planning in 2013. In 2018, he was re-appointed Exco for Local Government, Housing and Town & Country Planning with the added responsibility of heading the onerous Local Government portfolio in overseeing both Penang City Councils – Majlis Bandaraya Pulau Pinang and Majlis Bandaraya Seberang Perai.
09:20 – 09:40
Wide-bandgap solutions enabling new possibilities in automotive and industrial market
Manuel Gaertner
STMicroelectronics
Manuel Gärtner joined STMicroelectronics Munich in 1999 and is Director of wide bandgap & Electrification for automotive applications. He has worked as a development engineer for smart power products and as a research engineer at the university of Berlin and in the Fraunhofer Institute ISiT. He has published over 35 articles and conference speeches on automotive power electronics and holds several patents. He is member of the EEHE Scientific Advisory Board, the SIA POWER TRAIN & ELECTRONICS scientific committee for Power Electronics, and he represents STMicroelectronics as principal partner in ECPE.
Company Profile
At ST, we are more than 50,000 creators and makers of semiconductor technologies mastering the semiconductor supply chain with state-of-the-art manufacturing facilities. An integrated device manufacturer, we work with more than 200,000 customers and thousands of partners to design and build products, solutions, and ecosystems that address their challenges and opportunities, and the need to support a more sustainable world. Our technologies enable smarter mobility, more efficient power and energy management, and the wide-scale deployment of cloud-connected autonomous things. We are committed to achieving our goal to become carbon neutral on scope 1 and 2 and partially scope 3 by 2027. Further information can be found at www.st.com.
09:20 – 09:30
Advanced Packaging/Substrate Materials and Open innovation Platform
An increased density of IC chips and other components to increase processing speed highly will be required for post-5G/6G systems. Therefore, there is a need for technologies that allow for high-density packaging of differing chips within a single semiconductor package. In this presentation, Advanced Packaging and Substrate materials trend such as organic core, dry film, solder resist, PID for RDL and glass related materials would be introduced.
Furthermore, Open innovation activity using Resonac’s Advanced Packaging pilot line would be discussed. Resonac has started Packaging Solution Center to propose one-stop solution for customers in 2019 and established the co-creative packaging evaluation platform “JOINT2” with leading companies to accelerate the development of advanced materials, equipment and substrates for 2.xD and 3D package. We are developing fine vertical/lateral interconnect technology and the study of fabrication and reliability for the extremely large advanced package. This presentation will cover these Resonac’s co-creation activities and development.
Hidenori Abe
Resonac Corporation
Hidenori Abe CTO for semiconductor materials, Resonac Holdings Corporation Executive director, Electronics Business Headquarters, Resonac Corporation. He is leading electronics materials R&D and strategy for semiconductor, substrate and display. Until 2023, he was the head of Electronics R&D Center and Packaging Solution Center, which is open innovation hub in advanced packaging development. I launched JOINT2, new advanced packaging consortium targeting 2.xD and 3D package in 2021.Prior to the above mission, he have been a General Manager of CMP Slurry Business Sector for three years. Before that he was a Manager of Marketing Promotion Group in Innovation Promotion Center at Hitachi Chemical (HC) for 2 years. When the career, he was promoted new R&D projects, especially targeting new business field using new technologies, and also to promote developing R&D products. As a side note, HC is one of the merged companies of Resonac. Hidenori Abe was Manager of Business Development Group in Packaging Solution Center at HC for 1 year with responsibility to promote open laboratory to partners such as customers and equipment makers, responsibility of marketing wearable related materials. Before that, he was epoxy molding compounds (EMC) engineer. During his 16 years carrier as engineer, he spent time doing responsibility of development of non-conductive carbon, green EMC, Cu wire compatible EMC, wafer level compression compounds, power module EMC and so on. His Cu wire compatible EMC development work contributed to the promotion to Cu wire conversion through several published papers. He received a master degree in chemical engineering field from Tokyo Institute of Technology, Japan and a master degree at the EMBA course from Oxford, UK.
Company Profile
Resonac defines its purpose as “Change society through the power of chemistry.” Resonac aims to be a world-class functional chemical manufacturer, creating functions necessary for the times, supporting technological innovation, and contributing to the sustainable development of our customers. Resonac is Global Leading semiconductor materials supplier. In order to achieve technological innovation for solving various social issues, it is essential for us to make wide-ranging co-creative efforts with partners, and Resonac is open to collaboration including 1on1 co-development with any partner.
We have opened a Packaging Solution Center and are actively engaged in next-generation semiconductor co-creation activities through JOINT2 with many partner companies. Furthermore, starting this year, we will also seek co-creation opportunities in the United States by launching US-JOINT.
09:20 – 09:40
Disruptive MEMS Power Technology – The Ideal Switch for Power applications
Electromechanical Relays have been around since the 1800s, and the architecture we still see today is not drastically different than what was introduced 200 years ago. These relays tend to be very large, slow, and unreliable. The invention of transistor in semiconductor era helped to address some of those issues but they also come with big losses and inefficiencies that create significant waste heat and thermal challenges. Menlo Micro’s Ideal Switch is a revolutionary and disruptive technology that combines the benefits of electromechanical relays and solid-state switches,
offering unparallel performance, reliability, and efficiency. Developed through years of R&D which started by GE Research Lab, the Ideal Switch features a unique metal to metal contact design actuated by an electrostatic voltage on the gate electrode which forces it to close. And when we release that voltage, it opens the relay so that you have a full air gap or a zero-leakage
path for when the switch is open. In the end, it’s all about making things smaller, more powerful, and cramming more capability. Menlo Micro’s unique Ideal Switch technology provides significant energy savings, longer battery life, and lower total cost
of ownership.
Yalcin Bulut
Menlo Microsystems Inc.
Yalcin has over twenty-five years of semiconductor experience, as the Chief Operating Officer, he manages sales, marketing, quality assurance and product areas at Menlo Microsystems.
Yalcin joins Menlo Micro after serving the role of Vice President of Sales and Marketing at United Silicon Carbide (UnitedSiC, now Qorvo). Prior to that his leadership experience included Vishay, Inc. where he was the Vice President of Power Product Lines, along with Alpha and Omega Semiconductor where he guided the company’s strategic direction as Vice President of Discrete Product Lines.
Yalcin holds a Bachelor of Material Science Engineering (Solid State Physics emphasis), and subsequently earned his Master of Business at Iowa State University.
Company Profile
Menlo Microsystems is on a mission to create a more energy efficient and sustainable world with an entirely new category of electronic switches. The Ideal Switch® eliminates compromises and tradeoffs by combining the benefits of electromechanical and solid-state switches into the best of both worlds. Menlo Microsystems is bringing more than 99 percent reductions in size, weight, power and cost to dozens of industries such as medical, aerospace and defense, telecommunications, consumer electronics, industrial IoT (Internet of Things) and test and measurement.
Company Products & Services
Menlo Micro is responsible for bringing to market the greatest electronic component innovation since the transistor with its Ideal Switch® technology.
09:20 – 09:40
How do we plan to rock the challenges in E-Mobility transformation?-A first of its kind joint venture undertaking
The E-mobility market is one of the fastest growing markets with unique challenges in efficiency, innovation and time to market. This is an enormous task for the European power electronic supply chain, because innovation travels slowly along the classical supply chain and is often not fully optimized. For automotive traction inverter products, SiC based power modules are identified as a key component of efficiency and innovation because it combines the power semiconductor requirements with the inverter applications. Leonardo Montoya and Dr. Stefan Hain will present, how powerful the establishment of a joint venture between ZF and Wolfspeed could be and what benefits could be achieved, if the SiC chip technology, the power module design and the inverter architecture is perfectly matched through the work of the joint R&D center. The presented project is part of the IPCEI Microelectronic and EU Chips Act, which were established to boost resilience in European semiconductor research & development.
Dr. Stefan Hain
ZF Friedrichshafen AG
Dr. Stefan Hain studied Physics at the University of Bayreuth. His main focus was quantum mechanics and semiconductor physics. After his graduation in 2011, he started working on his PhD thesis in the field of “modern power semiconductor devices” at the University of Bayreuth, which was finalized in 2018. In 2018, Dr. Stefan Hain join ZF Group, starting as a technical lead and team lead for semiconductor development. Since 2022, he is heading the department for power semiconductor development at ZF Group and he is responsible for the R&D part of the new founded ZF Wolfspeed R&D center.
Company Profile
ZF is a global technology company supplying systems for passenger cars, commercial vehicles and industrial technology, enabling the next generation of mobility. ZF allows vehicles to see, think and act. In the four technology domains of Vehicle Motion Control, Integrated Safety, Automated Driving, and Electric Mobility, ZF offers comprehensive product and software solutions for established vehicle manufacturers and newly emerging transport and mobility service providers. ZF electrifies a wide range of vehicle types. With its products, the company contributes to reducing emissions, protecting the climate and enhancing safe mobility.
With some 157,500 employees worldwide, ZF reported sales of €38.3 billion in fiscal 2021. The company operates 188 production locations in 31 countries.
For further press information and photos, please visit: www.zf.com
ZF Friedrichshafen AG
Corporate Headquarters / ZF Forum
Löwentaler Straße 20
88046 Friedrichshafen
Germany
Phone: +49 7541 77 – 0
Email: postoffice@zf.com
Leonardo Montoya
Wolfspeed
He was born in 1979 in Chihuahua, Mexico. He received a B.Sc. in electronics and communication engineering from Tecnológico de Monterrey, Mexico, and a M.Sc. in electrical power engineering from Technical University Darmstadt, Germany.
He brings more than 20 years of professional experience gathered across the entire product development process for electric and electronic components and systems through R&D, and life cycle management for home appliances, railway, industrial equipment, and automotive markets. Furthermore, during his professional stay at Diehl, ABB, and BMW he has contributed to introduce successfully new power electronics technologies to the market. He is now working at Wolfspeed, the technology leader for silicon carbide semiconductor technology, as Head of R&D for Europe. In this role, he is responsible for establishing the R&D footprint in Europe. His topics of interest are semiconductors, electric energy efficiency, and environmentally friendly electric mobility.
Company Profile
Wolfspeed (NYSE: WOLF) leads the market in the worldwide adoption of Silicon Carbide and GaN technologies. We provide industry-leading solutions for efficient energy consumption and a sustainable future. Wolfspeed’s product families include Silicon Carbide materials, power-switching devices and RF devices targeted for various applications such as electric vehicles, fast charging, 5G, renewable energy and storage, and aerospace and defense. We unleash the power of possibilities through hard work, collaboration and a passion for innovation. Learn more at www.wolfspeed.com.
Wolfspeed® is a registered trademark of Wolfspeed, Inc.
09:20 – 09:35
Huishan District People’s Government Promotion Speech 惠山区集成电路产业推荐
Jinfeng Ding 丁劲锋 无锡市惠山区高新技术企业协会副秘书长
09:30 – 10:30
Networking Break, Coffee and Business Meetings
09:30 – 10:00
Keynote
HBM (High Bandwidth Memory) and Advanced Packaging Technology for AI Era
The semiconductor packaging industry is expected to grow in the coming years, driven by the increasing demands for semiconductor chips in various applications, such as smartphones, autonomous vehicles, 5/6G, high-performance computing, IoT devices, and artificial intelligence. Another trend is the increasing adoption of heterogeneous integration, where different types of chips, such as CPUs, GPUs, and memory, are integrated into a single package to improve performance and reduce power consumption.
To overcome the limitations of performance/power/density/bandwidth of cutting edge systems, and to create new business opportunity and new values, the importance of advanced packaging technologies is more increased. For the above reasons, the future of the semiconductor packaging industry looks promising, with the increasing demand for semiconductor chips in various applications and the emergence of new packaging technologies driving growth and innovation in the semiconductor industry.
Major semiconductor players accelerate the competition to lead semiconductor industry hegemony by the evolution of advanced packaging technology such as chiplets and 2.5D/3D heterogeneous integration.
SK hynix drive the innovation of packaging technology to meet the demand for higher bandwidth and capacity of memory devices requiring in the increased AI workload applications such as the advent of ChatGPT, an artificial intelligence chatbot. High bandwidth memory (HBM), offers the largest capacity and bandwidth and also comes with the most improved power efficiency enabled by an advanced packaging technology of novel 3D chip stacking. SK Hynix is taking the lead in the HBM market. It developed the world’s first HBM in cooperation with AMD in 2013 and continuously released second/third/fourth-generation HBMs (HBM2/HBM2E/HBM3), and has secured a market share of 60-70 percent. The chip-let technology based on heterogeneous integration will be another key driver for memory-centric systems various combination of logic and memory devices. By the evolution of advanced packaging technologies, SK Hynix will continuously lead the competitiveness of memory business and prepare the business innovation for beyond memory era.
Kangwook Lee, Ph.D.
SK Hynix
Dr. Lee has been one of critical leaders who are leading the era of 3D TSV stack memory such as HBM (High Bandwidth Memory) in semiconductor industry.
He has contributed broadly to, and led teams in, 3D integration/packaging R&D including core technology/product development/reliability study and mass production for HBM over 27 years.
Dr. Lee received the Ph.D. degree in machine intelligence and systems engineering from Tohoku University, Japan, in 2000. During his doctoral research at Tohoku University,Dr. Lee proposed a new 3D-IC integration technology to achieve 3D devices with high performance and multi-functionality, leading this field in the world.
From 2001 to 2002, he was a Postdoctoral Researcher with the Department of Electrical, Computer, and Systems Engineering, Rensselaer Polytechnic Institute, Troy, NY, USA.
He worked with Memory Division, Samsung Electronics Ltd., Korea, as a Principal Engineer from 2002 to 2008.
From 2008 to 2016, he worked with the New Industry Creation Hatchery Center (NICHe), Tohoku University, Japan, as a Professor.
From 2017 to 2018, he worked with R&D center, Amkor Technology Korea, as a VP.
He joined SK hynix 2018 and currently Senior VP, Head of PKG Development at SK Hynix, Korea.
Dr. Lee has led many interdisciplinary R&D programs on 3D integration, including integration of various materials and devices to achieve 3D devices/systems with high performance and new functionality, 3D-IC reliability research to investigate the impacts of 3D integration on the device performance and reliability, unique hybrid integration of nano-materials with Si, and product development of 3D stack DRAM such as high-bandwidth memory (HBM). For over 27 years at universities and industries in Japan, US, and Korea, Dr. Lee has made exceptional technical contributions to and lasting impacts on 3D integration technology and 3D product development in broad fields, such as material science, materials characterization/analysis, semiconductor device/process, electrical packaging, and Si micro-machining.
Dr. Lee has authored more than 230 scientific publications (peered journals, international conferences), co-edited 4 books, and given 43 tutorial/invited/keynote talks in international conferences including IEEE IEDM, IEEE IRPS, VLSI Symposium, plus 23 US patent publications.
He has served as a frequent reviewer for a number of journals, including IEEE EDL, IEEE T- ED, IEEE CPMT, and technical program committees of international conferences, including IEEE ECTC, IEEE IRPS, IEEE EDTM, IEEE 3D SIC.
He is a Senior Member of IEEE.
Company Profile
An AI First Mover Leading the Global AI Memory Era
With our global technology leadership, SK hynix aims to provide greater value to all stakeholders, including our customers, partner companies, investors, local communities, and employees.
Moreover, we are working to strengthen our ESG management to create even more value, by moving away from the conventional business model of seeking only economic benefits, in pursuit of more social value and a healthier governance structure.
SK hynix will grow into a Full Stack AI Memory Provider, offering customized solutions tailored to the diverse needs of global customers, covering both DRAM and NAND flash, in the era of full-scale AI.
09:30 – 09:55
Keynote
Challenges and Opportunities of Semiconductor Packaging in the Chiplet Era
The advancements in semiconductor manufacturing and packaging technologies are revolutionizing the semiconductor industry. Splitting a SoC chip into individual chips by function brings improved yields, shorter design, development cycles, and cost reduction. However, packaging structures are becoming more complex, leading to increased design complexity. To overcome these challenges, the entire industry should promote the integration of front-end and then back-end processes and establish a chiplet ecosystem.
Dr. Yasumitsu Orii
Rapidus
Education: Osaka Univ. Osaka, Japan Bachelor 1986
Graduate School of Osaka Univ. Osaka, Japan PhD 2012
Dr. Yasumitsu Orii joined IBM Japan in 1986 and was a leading expert on Flip Chip organic packages, which had contributed to the performance improvements and miniaturization of such products as servers, laptop computers, and HDDs. The packaging technology is becoming more important for next generation server products as Moore’s Law reaches its limits. His flip chip expertise extended into many related areas. Initially, he was a pioneer of flip chip on FPC (Flexible Printed Circuit) for HDDs, which allowed the read/write amplifier ICs to be mounted on the suspension and much closer to the GMR head. Later, he developed the C2 (Chip Connection) technology that supported low-cost 50-μm-pitch flip chip bonding for the commodity consumer electronics market and it was licensed to a company in Taiwan. At IBM Research Tokyo, he was leading the next generation flip chip organic package, 3D-IC projects and Neuromorphic Computing for IBM Servers and creating new technologies under a Joint Development Program involving many leading Japanese materials companies. He left IBM in 2014 and joined NAGASE & CO., LTD. He established “New Value Creation Office” under the direct control of the president and launched the material informatics software as a service in 2020. He left NAGASE and he joined Rapidus Corporation in 2022/Dec. Now he is the senior managing executive officer to lead the 3D Assembly Division.
09:35 – 10:00
The Blueprint for Sustainable Fabs
How AI machine learning and others digital technology can accelerate the path to net zero of Semicon industry.
Henri Berthe
Schneider Electric
Henri Berthe, a seasoned professional with 20 years of experience at Schneider Electric, leads the global Semiconductor Segment, driving sustainability and digitization. Previously, he was VP of Universal Enclosures, overseeing a global business focused on high-quality, standard, and connected enclosures. Before that, he served as VP of Digital Energy in MENA and Africa, deploying digital technologies and electrification solutions. Henri’s expertise spans energy management, digital power, and building management systems. He holds a master’s degree in Sales and Marketing from ESTA Belfort, France. In May 2024, he joined the Regional Advisory Board of SEMI South-East Asia and the Global Counsel of the Semiconductor Climate Consortium.
Company Profile
Schneider Electric is the global industrial technology leader, driving sustainable impact.
We are a powerhouse of electrification, automation, and digitization. The unique combination of our electrical and automation technologies intersect with our leadership in software, services and sustainability to rapidly accelerate sustainable impact.
Schneider’s purpose is to create Impact by empowering all to make the most of our energy and resources, bridging progress and sustainability for all. At Schneider we call this Life Is On.
Our mission is to be the trusted partner in Sustainability and Efficiency.
Company Products & Services
Energy management, Industrial Automation, Sustainability and Consulting Services, Industrial Software.
09:35 – 10:05
Keynote
CHIPS National Advanced Packaging Manufacturing Program (NAPMP) Update
Dan Berger
CHIPS for America R&D Office
Dan Berger has had his hand in Packaging Development for the last 36 years. After Dan graduated from Purdue in 1988 he joined IBM in East Fishkill New York where he worked until the Microelectronics division was sold to GlobalFoundries in 2015. He continued there until 2022. During that lengthy tenure Dan held numerous management and executive roles in advanced packaging including major transitions such as ceramic to organic substrates, leaded to lead free bumping, single chip modules to multichip modules, Multi-chip modules to 2.5D and 3D stacking solutions, and must recently silicon photonics co-packaged optics. Dan briefly founded a consulting company in advanced packaging in 2023 before receiving the call to join the Chips for America program in NIST as Associated Director of the NAPMP. Dan took on that roll in December of 2023.
09:35 – 09:55
It is not only about AI in MEMS and sensors
Sensors have become ubiquitous, blurring the line between being online and offline. AI plays a key role in sensors, enabling real-time context understanding and the ability to make decisions aimed at optimizing and reducing the power consumption of the final device. Sensors are no longer merely for data collection; thanks to AI, they can interact with their environment and significantly contribute to innovation and sustainability.
Tarik Souibes
STMicroelectronics
Tarik Souibes holds a master’s degree in physics and graduated from an engineering school with a specialization in materials dedicated to microelectronics. He joined ST in 1998 as a technical marketing engineer. With more than 26 years of experience at the company, Tarik has held various roles and responsibilities, dealing with a wide range of products including power, analog, and sensors.
Today, Tarik is responsible for product marketing for sensors within the ST MEMS Sub-Group.
Company Profile
At ST, we are more than 50,000 creators and makers of semiconductor technologies mastering the semiconductor supply chain with state-of-the-art manufacturing facilities. An integrated device manufacturer, we work with more than 200,000 customers and thousands of partners to design and build products, solutions, and ecosystems that address their challenges and opportunities, and the need to support a more sustainable world. Our technologies enable smarter mobility, more efficient power and energy management, and the wide-scale deployment of cloud-connected autonomous things. We are committed to achieving our goal to become carbon neutral on scope 1 and 2 and partially scope 3 by 2027. Further information can be found at www.st.com.
Niranjan Khasgiwale
Applied Materials
Niranjan Khasgiwale is Vice President of Marketing and Business Development in the Digital Lithography Group.
Niranjan has more than 25 years of experience in the semiconductor equipment industry and joined Applied in 2011 following the acquisition of Varian Semiconductor, where he led strategy and marketing for the implant business unit.
He previously held roles with KLA-Tencor and Formfactor. He holds a master’s degree and Ph.D.in Materials Science from Dartmouth College and Lehigh University respectively and a B.Tech in Metallurgy from the Indian Institute of Technology.
Company Profile
We are the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations Make Possible® a Better Future.
09:35 – 09:55
Keynote
CRRC Automotive Power Semiconductor Technology Innovation and Industrialization 中车汽车功率半导体技术创新与产业化
Ding Rongjun
CRRC
Ding Rongjun, Academician of the Chinese Academy of Engineering, expert in power electronics and control technology, recipient of the State Council Special Allowance; member of the National Industry Foundation Committee, Director of the National Key Laboratory of Power Semiconductors and Integrated Technology, Chairman of the Power Semiconductor Industry Alliance, and Editor-in-Chief of the academic journal Locomotive Electric Drive; representative of the 18th and 19th National Congresses of the Communist Party of China; currently serving as Chief Scientist of CRRC (China Railway Rolling Stock Corporation) and Dean of the School of Mechanical and Vehicle Engineering at Hunan University.
Academician Ding has long been engaged in innovative research and technology transfer in the fields of power electronic devices, traction converters, and AC drive systems. He established a standards system suited to China’s national conditions that aligns with international technical models. His contributions have played a significant role in China’s breakthrough development from conventional rail to heavy-duty rail and from regular-speed to high-speed rail. He has presided over or participated in more than 30 major national, provincial, and ministerial-level research projects, received 1 National Invention Award, 2 Second Prizes for National Scientific and Technological Progress, 3 Special Prizes, 8 First Prizes, and 4 Second Prizes at the provincial and ministerial levels. He has also been honored with the “Ho Leung Ho Lee Science and Technology Award,” “Zhan Tianyou Science and Technology Achievement Award,” “Mao Yisheng Science and Technology Award,” designation as a national-level talent in the “New Century Hundreds-Thousands-Talents Project,” and titles such as “National Model Worker,” “Most Beautiful Railway Technology Worker,” and one of the “50 Honored Figures of China’s Subway Development.”
丁荣军,中国工程院院士,电力电子及控制技术专家,国务院特殊津贴获得者;国家产业基础委员会委员、功率半导体与集成技术全国重点实验室主任、功率半导体行业联盟理事长、学术期刊《机车电传动》主编;中国共产党十八大、十九大代表;现任中国中车首席科学家,湖南大学机械与运载装备学院院长。
丁院士长期从事电力电子器件、牵引变流和交流传动系统的创新研究和成果转化,创建了适合我国国情的标准体系并与国际接轨的技术模式,为中国铁路从普载到重载、从常速到高速的突破发展做出了重大贡献。先后主持、参与国家、省部级重大科研项目30余项,获国家发明奖1项、国家科技进步二等奖2项、省部级特等奖3项、一等奖8项、二等奖4项,获“何梁何利科学技术奖”、“詹天佑科技成就奖”、“茅以升科学技术奖”、“新世纪百千万人才工程国家级人选”、“全国劳动模范”、“最美铁道科技工作者”和”中国地铁50年致敬人物“等荣誉称号。
09:40 – 09:55
Opening Ceremony 揭牌仪式
Bor-Sung Liang, Ph.D
MediaTek
Dr. Bor-Sung Liang is currently a Senior Director of Strategic Technology Exploration Platform at MediaTek, Hsinchu Science Park, Taiwan, and concurrently serving as a Visiting Professor at Department of Computer Science and Information Engineering, EECS and GSAT in National Taiwan University, as well as a Professor Ranked Specialist at Institute of AI Innovation, IAIS in National Yang Ming Chiao Tung University. He received his Ph.D degree from Institute of Electronics, National Chiao Tung University, and graduated from EMBA, College of Management, National Taiwan University.
Dr. Liang has received several important awards, such as Ten Outstanding Young Persons, Taiwan, R.O.C., National Invention and Creation Award for three times on Invention (one Gold Medal and two Silver Medals) from Intellectual Property Bureau of the Ministry of Economic Affairs, Taiwan, Outstanding Youth Innovation Award of Industrial Technology Development Award from Department of Industrial Technology of the Ministry of Economic Affairs, Taiwan, Outstanding ICT Elite Award of ICT Month, R.O.C., and K. T. Li Young Researcher Award from Institute of Information & Computing Machinery and ACM Taipei/Taiwan Chapter. He is also the major inventor of more than 80 patents worldwide.
Company Profile
MediaTek Incorporated (TWSE: 2454) is a global fabless semiconductor company that enables 2 billion connected devices a year. We are a market leader in developing innovative systems-on-chip (SoC) for mobile device, home entertainment, connectivity and IoT products. Our dedication to innovation has positioned us as a driving market force in several key technology areas, including highly power-efficient mobile technologies, automotive solutions and a broad range of advanced multimedia products such as smartphones, tablets, digital televisions, 5G, Voice Assistant Devices (VAD) and wearables. MediaTek empowers and inspires people to expand their horizons and achieve their goals through smart technology, more easily and efficiently than ever before. We work with the brands you love to make great technology accessible to everyone, and it drives everything we do.
Visit www.mediatek.com for more information.
Telephone: +886-3-567-0766 ( more sites )
Email: https://corp.mediatek.com/about/contact-us
09:45 – 10:45
Networking Break, Coffee & Business Meetings
09:45 – 10:45
Networking Session/ Business Meeting 5&6
09:45 – 09:55
SiC wafer dicing with multi-beam laser: optimal process quality and minimized cost
Traditional blade sawing of power wafers (Si, SiC, GaN on different substrates) has issues: side wall cracks, passivation chipping, wafer crack, metal pealing, smearing of backside metallization along die side. Furthermore, it is characterized by high
consumable cost (blade wear, water consumption). With the clear demand for performance improvement there is a trend towards thinner wafers, thicker back side metallization and a switch towards different materials: SiC and GaN. Consequently,
the issues from traditional blade sawing for power wafers becoming even more prominent. A traditional solution applied to overcome these problems is reducing blade saw speed. This is not future proof as it leads to significantly higher equipment
and fab space cost. ASMPT ALSI has developed multi – beam laser dicing of power wafers which outperforms traditional blade sawing and cost of ownership. Several examples will be shown in the presentation.
Kees-Jan Leliveld
ASMPT Limited
Kees-Jan Leliveld is Managing Director of ASMPT ALSI and Vice President ASMPT Semiconductor Solutions. He is leading the global ASMPT ALSI team in the development and supply of wafer separation solutions for semiconductor industry using laser dicing and laser grooving technology. Focus growth areas are power: thin Si, SiC, GaN, Advanced Packaging and Memory.
Before joining ASMPT ALSI in 2011 he held technical management roles at Bosch Rexroth and Philips Healthcare. He holds a Master’s degree and Ph.D. in Applied Physics from the Technical University of Delft.
Company Profile
ASMPT, founded in 1975, is headquartered in Singapore and is listed in Hong Kong Stock Exchange since 1989.
ASMPT is the only company in the world that offers high-quality equipment for all major steps in the electronics manufacturing process – from carrier for chip interconnection to chip assembly and packaging to SMT. No other supplier offers a comparable range and depth of process expertise.
Semiconductor Solutions Segment Business of ASMPT offers a diverse product range from bonding to molding and trim & form to the integration of these activities into complete in-line systems for the microelectronics, semiconductor, camera modules, advanced packaging, photonics, and optoelectronics industries.
The group has successfully established itself as the leading player in the back-end assembly and packaging market with its innovative solutions and constant focus on customer value creation.
09:45 – 09:55
Piezoelectric MEMS Acoustic Transducers at Silicon Austria Labs: technology platforms and emerging applications
As a top European research center for Electronic Based Systems, Silicon Austria Labs´mission is to accelerate technological growth from idea to innovation within the major market tendencies on the global arena. The Microsystems Research Division develops cutting–edge MEMS technologies, from design and proof–of–concept to product prototypes, connecting key industrial and scientific players along the value chain. In her presentation, Dr Annalisa De Pastina, Senior Scientist in PiezoMEMS Microsystem Technologies, will describe the latest technology platforms for acoustic piezoMEMS development. The talk will focus on MEMS microphones and Piezoelectric Micromachined Ultrasonic Transducers, and will discuss emerging technologies and challenges related to design, fabrication and material development.
Dr. Annalisa De Pastina
Silicon Austria Labs (SAL)
Dr. Annalisa De Pastina is an expert in M/NEMS and piezoelectric transduction. She has 10 years of experience in the field of nanomechanical sensors and actuators, specializing in microtechnology and piezoelectric thin films. In 2014, she graduated in Biomedical Engineering from Sapienza University of Rome, Italy, and in 2018 she obtained her PhD in Microengineering from EPFL, Switzerland. Dr. De Pastina is currently a senior scientist in piezoMEMS at Silicon Austria Labs, where she leads pivotal industrial collaborations and international projects focused on the development of novel piezoelectric MEMS sensors and actuators, such as MEMS microspeakers, microphones and ultrasonic transducers.
Company Profile
Silicon Austria Labs (SAL) has been founded to be a top European research center for electronic-based systems. In the network of science and economy, we carry out research at a global level and create the basis of groundbreaking products and processes.
09:50 – 10:10
Solutions for Substrates Production
Substrates production to face some new challenges. Chaplet’s requirement increasing, new materials are needed, miniaturization, power consumption, thermal management, High Frequency requirements, Cost, Geo-political situation, and investments security for new fab.
Embedded Traces process is one of the answers of the challenges, ET opens new design opportunities for the next generation of substrates, secure CAPEX investments due to the extended capabilities including automation, a green production technology with reduced water and power consumption can open the possibility of near shoring.
Laurent Nicolet
SCHMID Group
Mr. Nicolet, a Swiss-born professional in the PCB business, has been involved since 1982. He served as production manager for Seprolec and later as technical director for Cicorel. In 2000, he joined Multek as technical director, acting in Germany, USA, and China for six years. In 2006, he joined SCHMID as technical director for PCB machines and took responsibility for the PCB business. In 2009, he moved to Hong Kong and managed the production site and worldwide sales. Since 2020, he is located in Taiwan and serves as the V.P. of BU Electronics for the SCHMID Group.
Company Profile
The SCHMID Group is a world-leading global solutions provider for the high-tech electronic, photovoltaics, glass, and energy systems industries, with its parent company Gebr. SCHMID GmbH is based in Freudenstadt, Germany. Founded in 1864, today it employs more than 800 staff members worldwide, and has technology centers and manufacturing sites in multiple locations including Germany and China, in addition to several sales and service locations globally. The Group focuses on developing customized equipment and process solutions for multiple industries including electronics, renewables, and energy storage. Our system and process solutions for the manufacture of substrates, printed circuit boards and other electrical components ensure highest level of technology, high yields with low production costs, high efficiency, quality, and sustainability in green production processes. www.schmid-group.com
09:50 – 10:00
Special Recognition Ceremony
09:55 – 10:05
Signing Ceremony 项目签约
09:55 – 10:15
Keynote
Powering the Future: Innovations in Power Semiconductors for Vehicle Electrification and Beyond 驱动未来:汽车电气化与功率半导体创新发展报告
Yik Yee Tan, PhD
Yole Group
Yik Yee Tan, PhD is Senior Technology & Market Analyst, Semiconductor Packaging at Yole Group.
Based in Malaysia, Yik Yee follows the semiconductor packaging industry and its evolution. Based on her technical expertise and market knowledge, she develops technology & market products and is engaged in dedicated custom projects.
Prior to Yole Group, Yik Yee Tan worked as a failure analyst and interconnect principal at Infineon Technologies (Malaysia) and later as an open innovation senior manager at onsemi (Malaysia). While at onsemi, Yik Yee was deeply involved in numerous innovative advanced packaging projects.
She authored more than 30 papers and wrote 3 patents related to semiconductor packaging technologies.
Yik Yee Tan holds a PhD in Engineering from Multimedia University (MMU, Malaysia).
Company Profile
Yole Group is an international company recognized for its expertise in the analysis of markets, technological developments, and supply chains, as well as the strategy of key players in the semiconductor, photonics, and electronics sectors.
With Yole Intelligence, Yole SystemPlus and Piséo, the group publishes market, technology, performance, reverse engineering and costing analyses and provides consulting services in strategic marketing and technology analysis. The Yole Group Finance division also offers due diligence assistance and supports companies with mergers and acquisitions.
Yole Group benefits from an international sales network. The company now employs more than 180+ people.
More information on www.yolegroup.com.
Company phone:
+33 472 83 01 80
10:00 – 10:25
Keynote
3DFabric Advanced Packaging Technology Innovations for AI/HPC
The focus of this presentation is on the latest 3DFabric technology innovations for AI and high-performance computing.
The development trends and future applications will be introduced, along with the integrated challenges faced by advanced packages. These challenges include CPI challenges with evolved advanced Si technology, large CoWoS® integrated challenges, design standard and testing integration, and 3DFabric manufacturing complexity.
Kathy Yan, Ph.D.
TSMC
Kathy Yan, currently Director of New Technology & System Integration, Advance Packaging and Test at TSMC. She is now in charge of new CoWoS-R organic interposer technology RD development for high speed HPC application, advanced packaging mechanical and thermal simulation & validation. She has been also managing new product co-development projects for system customers, across multiple packaging architecture including InFO POP, InFO-SOW, CoWoS-S and CoWoS-R. In addition She is the key player in TSMC 3D Fabric Alliance as the Memory Eco-system program owner. Prior to joining TSMC, she spend most of her career at Intel Advanced packaging RD and Medtronic technology Center in Arizona, US. She has a PhD in Electrical Engineering and Master in Material Science from Auburn University.
Company Profile
TSMC pioneered the pure-play foundry business model when it was founded in 1987, and has been the world’s leading dedicated semiconductor foundry ever since. The Company supports a thriving ecosystem of global customers and partners with the industry’s leading process technologies and portfolio of design enablement solutions to unleash innovation for the global semiconductor industry. With global operations spanning Asia, Europe, and North America, TSMC serves as a committed corporate citizen around the world.
TSMC deployed 288 distinct process technologies, and manufactured 11,878 products for 522 customers in 2024 by providing the broadest range of advanced, specialty and advanced packaging technology services. The Company is headquartered in Hsinchu, Taiwan. For more information please visit https://www.tsmc.com.
10:00 – 11:00
Networking Break, Coffee & Business Meetings
10:00 – 11:00
Networking Break, Coffee and Business Meetings
10:00 – 10:20
MEMS Breaks Through the AI Bottleneck
AI serves as the computational brain for advanced electronic applications, requiring high-quality sensory data to interact effectively with the environment. Emulating sophisticated sensory systems at a micromachined scale, MEMS technologies bridge this gap to enhance AI’s potential. Current advancements in MEMS — particularly in areas such as 3D LiDAR sensors, tactile sensors for robotics, and high dynamic range microphones —are pivotal in overcoming common AI bottlenecks. Additionally, MEMS integration can streamline neural networks, facilitating more efficient AI workflows. This talk will explore how MEMS technologies address crucial performance, reliability, stability and cost issues, thereby opening new market opportunities and significantly impacting future industry trajectories. We will also discuss how MEMS mitigates the power and thermal challenges posed by increasingly powerful and energy-intensive GPUs.
Eric Aguilar
Omnitron Sensors
An award-winning entrepreneur, Eric is a visionary leader in the field of advanced sensor systems for complex systems such robotics and autonomous platforms.
Throughout his distinguished two-decade career, Eric’s passion for sensor design and innovation has made him a key player in the industry. His expertise includes leading teams at renowned companies such as Tesla, where he managed a crew of 300 engineers on the firmware for Model 3, and at X, where he spearheaded the development of Google Project Wing, an autonomous drone delivery service.
Eric’s expertise in sensor integration includes a leadership position at various renowned robotics companies. His role in steering product development for a sensor company later acquired by Google — as well as his pioneering work building sensors for drones at US Navy Research Labs — further showcase his depth of experience.Eric earned a BS in Electrical Engineering from California State Polytechnic University and completed the Stanford Entrepreneurship program. His work continues to shape the future of MEMS and sensors technology, making him a sought-after thought leader and speaker in the field.
Company Profile
Omnitron Sensors is rewriting the script on building high-performance low-cost sensors for the world of tomorrow. Leveraging its executive team’s extensive experience designing, fabricating, and using MEMS sensors, Omnitron has developed a “new topology for MEMS” that addresses some of the most pressing pain points in MEMS manufacturing.
Featuring the clever arrangement of silicon process steps and a new packaging method, Omnitron’s topology significantly improves performance to produce robust, rugged, reliable, repeatable, and low-cost MEMS sensors in high volumes by leveraging commercial MEMS foundries.
The company’s first proof point of its new topology for MEMS is a large, robust, low-cost, MEMS scanning mirror for long-range LiDAR.
• Key Trends: Vertical Integration, Industry 4.0 & 5.0 Technologies, Advanced Packaging
10:05 – 10:30
AI in MEMS Manufacturing – A collaborational challenge for the entire value chain
The value chain for MEMS sensors offers unique challenges and at the the same time huge potentials for the implementation of AI driven optimization measures. This requires a change of mindset and collaboration across many organizational boundaries. We will discuss some examples and key learnings from the Inertial MEMS Sensos value chains from Bosch.
Dr. Florian Schuster
Bosch
Dr. Florian Schuster has held various roles in product management and strategic leadership within the high-tech and semiconductor sectors, notably at Bosch. His expertise focuses on driving technological innovations and business development in advanced manufacturing and semiconductor technologies. Schuster’s contributions include enhancing Bosch’s product offerings in key areas like automotive and industrial technologies, where semiconductor solutions are critical for next-generation systems. His background likely includes deep industry knowledge and experience in managing cross-functional teams to bring cutting-edge products to market.
Company Profile
The Bosch Group is a leading global supplier of technology and services. It employs roughly 428,000 associates worldwide (as of December 31, 2023). According to preliminary figures, the company generated sales of 91.6 billion euros in 2023. Its operations are divided into four business sectors: Mobility, Industrial Technology, Consumer Goods, and Energy and Building Technology. As a leading IoT provider, Bosch offers innovative solutions for smart homes, Industry 4.0, and connected mobility. Bosch is pursuing a vision of mobility that is sustainable, safe, and exciting. It uses its expertise in sensor technology, software, and services, as well as its own IoT cloud, to offer its customers connected, cross-domain solutions from a single source. The Bosch Group’s strategic objective is to facilitate connected living with products and solutions that either contain artificial intelligence (AI) or have been developed or manufactured with its help. Bosch improves quality of life worldwide with products and services that are innovative and spark enthusiasm. In short, Bosch creates technology that is “Invented for life.” The Bosch Group comprises Robert Bosch GmbH and its roughly 470 subsidiary and regional companies in over 60 countries. Including sales and service partners, Bosch’s global manufacturing, engineering, and sales network covers nearly every country in the world. The basis for the company’s future growth is its innovative strength. At 136 locations across the globe, Bosch employs some 90,000 associates in research and development, of which roughly 48,000 are software engineers.
Kok Tiong Ng
Infineon Technologies AG
Mr. Ng Kok Tiong: A Visionary Leader in the Semiconductor industry as the Senior Vice President and Managing Director of Infineon Technologies (Kulim) Sdn. Bhd, Mr. Ng Kok Tiong has been instrumental in spearheading Infineon’s first and only wafer fabrication plant in Asia, as well as the world’s largest 200mm Silicon Carbide Power Fab. Under his leadership, the Kulim site has transformed into a world-class wafer fab facility, employing over 4,000 people and driving growth, efficiency and excellence in the region.With an illustrious career spanning over 35 years in the semiconductor industry, Mr. Ng has accumulated extensive expertise in both front-end and back-end operations. He is an active contributor to the industry, serving in various associations such as the Semiconductor Fabrication Association Malaysia (SFAM) and the Malaysia Semiconductor Industry Association (MSIA). His thought leadership has earned him a platform to share his insights at numerous industry forums in Malaysia and the region.Beyond his industry accomplishments, Mr. Ng is committed to nation-building. Infineon Kulim has been appointed as the Mission Based Project (MBP 1.2) Champion for NIMP 2030, an initiative by Malaysian government aimed at attracting more wafer fab investments to Malaysia.A graduate of the National University of Singapore, Mr. Ng holds a bachelor’s degree in electrical and Electronic Engineering (1989). He is married with three daughters. Born in Malaysia, he is fluent in English and Malay, and conversational in Mandarin and German.
Company Profile
Here at Infineon, we combine entrepreneurial success with responsible action to make life easier, safer, and greener. Barely visible, semiconductors have become an indispensable part of everyday life. We play a key role in shaping a better future – with microelectronics that link the real and the digital world. Our semiconductors enable efficient energy management, smart mobility, as well as secure, seamless communications in an increasingly connected world. Infineon designs, develops, manufactures and markets a broad range of semiconductors and system solutions. The focus of its activities is on automotive and industrial electronics, communication and information technologies, IoT, sensor technology and security. The product range comprises standard components, software, customer-specific solutions for devices and systems, as well as specific components for digital, analog, and mixed-signal applications.
10:05 – 11:05
Networking and Coffee Break Business Meeting Slot 1&2
10:05 – 10:15
Launch Ceremony 大会启动仪式
End of content
End of content