27-28 August 2025
Suwon
MEMBER
Advantest (TSE: 6857) is the leading manufacturer of automatic test and measurement equipment used in the design and production of semiconductors for applications including 5G communications, the Internet of Things (IoT), autonomous vehicles, artificial intelligence (AI), machine learning, smart medical devices and more. Its leading-edge systems and products are integrated into the most advanced semiconductor production lines in the world. The company also conducts R&D to address emerging testing challenges and applications, produces multi-vision metrology scanning electron microscopes essential to photomask manufacturing, and offers groundbreaking 3D imaging and analysis tools. Founded in Tokyo in 1954, Advantest is a global company with facilities around the world and an international commitment to sustainable practices and social responsibility. More information is available at www.advantest.com.
Advantest’s core product line, semiconductor test equipment, is used by IC manufacturers to test their semiconductors with high accuracy and efficiency, ensuring that they operate properly and meet performance and reliability requirements. The company uniquely provides one-stop shopping for the test cell, which includes test systems, test handlers, and device interfaces which are essential to semiconductor package test. In addition, Advantest Test Solutions offers a series of SLT and Burn-In solutions that can span from high mix/low volume applications to those that have the volume to require fully automated solutions, while Advantest’s SSD Test Systems allow customers to grow their product portfolios while remaining adaptable to the changing needs of the SSD market. The newly introduced Advantest Cloud Solutions™ (ACS) is an ecosystem of cloud-based products and technologies based on a single scalable data platform that allows customers to accomplish intelligent data-driven workflows. Advantest supports globally distributed semiconductor supply chains from locations around the world.
MEMBER
Headquartered in Fremont, California, Aehr Test Systems is a leading provider of test solutions for testing, burning-in, and stabilizing semiconductor devices in wafer level, singulated die, and package part form, and has installed thousands of systems worldwide. Increasing quality, reliability, safety, and security needs of semiconductors used across multiple applications, including electric vehicles, electric vehicle charging infrastructure, solar and wind power, computing, data and telecommunications infrastructure, and solid-state memory and storage, are driving additional test requirements, incremental capacity needs, and new opportunities for Aehr Test products and solutions.
MEMBER
AEM: Unleashing the Power of Tomorrow – Leader in High-Performance Processor Testing for the AI Era
AEM is a global leader in intelligent system test and handling solutions for semiconductor and electronics companies serving the advanced computing, 5G, and AI markets. Our mission is to provide the most comprehensive semiconductor and electronics test solutions based on the best-in-class technologies, processes, and customer support.
AEM is the pioneer of massively parallel, fully automated test solutions. Our expertise has been developed over the past two decades where AEM now has the largest fleet of such high parallel systems in the world serving the advanced performance compute market.
AEM has a global presence across Asia, Europe, and the Americas, with R&D centers located in Singapore, Malaysia, France, Finland and the US. AEM has manufacturing plants in Singapore, Malaysia (Penang), Indonesia (Batam), Vietnam (Ho Chi Minh City), and Finland (Lieto), and a global network of field engineering support and sales offices.
AEM: Unleashing the Power of Tomorrow – Leader in High-Performance Processor Testing for the AI Era
AEM is a global leader in intelligent system test and handling solutions for semiconductor and electronics companies serving the advanced computing, 5G, and AI markets.
AEM’s technology pillars stem from the substantial investments made in R&D to sustain our leadership in three critical verticals: Industry leading Active Thermal Control, Advanced Factory Automation, and Application-Optimized Test Instrumentation.
AEM’s Solutions:
Industry Leading Active Thermal Control: Common active thermal collaterals integrated into various test insertion solutions ranging from the engineering lab to high volume manufacturing to enable rapid time to market and yield improvements.
Advanced Factory Automation: Factory 4.0 automation for low to massively parallel test insertions optimized for maximum throughput and lowest cost of test.
Test Instrumentation: Highly optimized test instrumentation, coupled with active thermal control and automation, that challenges the current cost-prohibitive traditional ATE test methodology.
MEMBER
Whether your production line is facing a shutdown, or your high-value equipment is waiting for a new component, you can’t afford a shipping delay. From life-saving organs to essential machinery components, Airspace is trusted by the world’s largest companies and most critical organizations to move their top time-sensitive shipments on time, every time.
Airspace’s proprietary AI-powered platform is the most advanced of its kind- awarded and protected by multiple patents, it provides speed, reliability, routing, tracking visibility and transparency unrivaled in time-critical logistics. It powers a 24/7/365 pro-active expert support team that understands the needs of vertical specific shipments such as those in the semiconductor business.
With offices in the United States in Southern California, Dallas, and in Europe in Amsterdam and new offices in Frankfurt, Stockholm, and Paris, London, Porto, Airspace is rapidly scaling into new markets and industries while continuing to innovate and maximize value for its customers. Backed by leading investors including Telstra, HarbourVest, Prologis, Qualcomm, Defy, and others, Airspace has raised $70M to date.
Whether your production line is facing a shutdown, or your high-value equipment is waiting for a new component, you can’t afford a shipping delay.
Airspace’s proprietary AI-powered platform is the most advanced of its kind- awarded and protected by multiple patents, it provides speed, reliability, routing and transparency unrivaled in time-critical logistics. It powers a 24/7/365 pro-active expert team that understands the specific needs of shipments such as those in the semiconductor business.
From NFO, to OBC, dedicated drives, charters and more, the Airspace technology will calculate the best routing for you, taking your specific requirements into consideration as well as automating the process to save your team valuable time.
Your supply chain is complicated — we make it easy for you.
MEMBER
THE SPECIALIST FOR CHARACTERIZING PIEZOELECTRIC MATERIALS AND DEVICES FOR PRODUCTION AND RESEARCH
When others say it cannot be done, we go ahead and do it. With a combination of experience and a passion for innovation, we have been developing modular measurement systems for characterizing piezoelectric materials and designing integrated system solutions since 1995, always with the needs of our customers in mind.
Our goal: reliable tools, tailored precisely to the respective needs.
We offer our customers unique tools based on own modules and components from our partners that have proven themselves for years.
Combining system integration with automation
We have an experienced team of physicists, engineers, electricians and programmers that combines tried-and-tested modules and components with new developments like suitable sample fixtures. This lets us deliver guaranteed quality to customers like you in the shape of our highly reliable testing and production tools, with short run-up times.
We will always take the latest expert insights into account when designing and producing our systems. Although we always tailor our tools precisely to customer needs, several systems have become established. Two examples of our systems:
aixDBLI Industrial Line
– Only commercial system that allows quality control of the clamped film before further processing for process qualification
– Wafer mapping enables homogeneity testing
– Unique resolution of over 0,5 pm
– Monitoring of other characteristic values, such as breakdown voltage, leakage current, epsilon and loss factor
ScanningHALT
– Fast, automated measurement of a range of samples -> get a high-quality statistical database quicker, e.g. for aging estimations
– Systems are flexible and easy to adapt to your specific requirements
– Measurement support throughout the entire development and production phases
Maybe one of them is the solution you have been looking for. If not, do not hesitate to get in touch!
MEMBER
Ajinomoto Fine-Techno Co., Inc. (AFT) is a subsidiary of the Ajinomoto Group responsible for the fine chemicals division. AFT (est. 1942) continues to deliver materials that can suit a wide range of customer needs in our four main strengths: molecular design, formulation, process development, and solutions. Our customers have commended us for our electronic materials. We have grown to play a major part in their value chains for electronics, automotive, and a variety of other products. We continue to refine our ability in materials science through research and development to continue creating value with our customers. We strive to provide the highest quality products, services, and information for our customers. Beyond our Ajinomoto Build-up film®, we’ve expanded our material portfolio to include molding, photo dielectric, magnetic, and optoelectronics. To maintain our leadership in our specified industry, we are devoted to providing state-of-the-art material, technology know-how, and customer service.
Please visit the website: Product information – Ajinomoto Fine-Techno Co.,Inc. (aft-website.com)
MEMBER
Alchip Technologies Ltd., founded in 2003 and headquartered in Taipei, Taiwan, is a leading global provider of silicon and design and production services for system companies developing complex and high-volume ASICs and SoCs. Alchip provides faster time-to-market and cost-effective solutions for SoC design at mainstream and advanced process technology. Alchip has built its reputation as a high-performance ASIC leader through its advanced 2.5D/3DIC design, CoWoS/chiplet design and manufacturing management. Customers include global leaders in AI, HPC/supercomputer, mobile phones, entertainment device, networking equipment and other electronic product categories. Alchip is listed on the Taiwan Stock Exchange (TWSE: 3661).
MEMBER
AlixPartners is a results-driven global consulting firm that specializes in helping businesses respond quickly and decisively to their most critical challenges—from urgent performance improvement to complex restructuring, from risk mitigation to accelerated transformation. These are the moments when everything is on the line—a sudden shift in the market, an unexpected performance decline, a time-sensitive deal, a fork-in-the-road decision. We stand shoulder to shoulder with our clients until the job is done, and only measure our success in terms of the results we deliver.
Clients call us when they need pragmatism and cut-through to solve their most complex challenges arising from a continually disrupted world. Our services cover Artificial Intelligence, Corporate Strategy & Transformation, Data Governance, ESG, Growth, Investigations, Disputes & Advisory Services, Mergers & Acquisitions, Organizational Transformation, Supply-Chain Management & Operations, Technology, Transformative Leadership and Turnaround and Restructuring.
MEMBER
Alpha X Technology is an innovative leader in high-tech manufacturing. We pioneer the integration of advanced automation, cutting-edge transportation systems, and AI-driven solutions to revolutionize traditional manufacturing processes.
Customer-centricity is at the heart of our mission, as we strive to drive digitization and innovation while contributing to the development of future talent. We hold ourselves to the highest standards of integrity, working towards building a robust and sustainable ecosystem for long-term growth.
Alpha X Technology is a cutting-edge leader in high-tech manufacturing, specializing in advanced automation solutions for semiconductor manufacturing. Our expertise combines state-of-the-art hardware and software, delivering optimized, data-driven systems that enhance productivity, quality, and reliability. We offer comprehensive solutions, including Equipment Automation Systems (EAS), Real-Time Dispatch Systems (RTD), AI Fault Detection and Classification (FDC), Chat-Based Business Intelligence and Automated Material Handling Systems (AMHS), such as Overhead Hoist Transport (OHT) and Stocker.
MEMBER
ACOOL uses a patented process to produce graphene-modified copper, which is a new material after graphene and copper form a covalent-metallic bond.
The world‘s only mass-producible graphene copper material, all properties exceed those of oxygen-free copper, we named ACOOL COPPER, that are transcendent.
It is formed by graphene and copper. It has dozens of excellent characteristics such as improved mechanical properties, electrical conductivity, thermal conductivity, corrosion resistance, oxidation resistance, EMI resistance, bending resistance, fusible current resistance, high voltage and low leakage, and low temperature coefficient.
MEMBER
For 50 years, AMD has driven in high-performance computing, graphics, and visualization technologies – the building blocks for gaming, immersive platforms, and the datacenter. Hundreds of millions of consumers, leading Fortune 500 businesses and cutting-edge scientific research facilities around the world rely on AMD technology daily to improve how they live, work and play. AMD employees around the world are focused on building great products that push the boundaries of what is possible. For more information about how AMD is enabling today and inspiring tomorrow, visit AMD (NASDAQ:AMD) on their website, blog, Facebook and Twitter pages.
MEMBER
AP&S International develops and produces wet process solutions for customers worldwide. Among them are many global players, as well as laboratories and midsize companies from the semiconductor-, MEMS- and micro-structuring industries.
The AP&S product range includes manual, semi-automated and fully automated wet process tools. They offer you wet process systems for batch processes as well as for single wafer processing as well as equipment for ensuring purity and maximum productivity in semiconductor production, e.g. B. FOUP, SMIF and box cleaners as well as different chemical management systems. The wet process equipment is used for cleaning, etching, metal etching, PR strip, electroless plating, lift-off, drying, coating and developing processes.
Company’s headquarters is in Donaueschingen, Germany, subsidiaries are located in China, Singapore and Malaysia.
More information at ap-s.de/
MEMBER
We are the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations Make Possible® a Better Future.
MEMBER
ASMPT, founded in 1975, is headquartered in Singapore and is listed in Hong Kong Stock Exchange since 1989.
ASMPT is the only company in the world that offers high-quality equipment for all major steps in the electronics manufacturing process – from carrier for chip interconnection to chip assembly and packaging to SMT. No other supplier offers a comparable range and depth of process expertise.
Semiconductor Solutions Segment Business of ASMPT offers a diverse product range from bonding to molding and trim & form to the integration of these activities into complete in-line systems for the microelectronics, semiconductor, camera modules, advanced packaging, photonics, and optoelectronics industries.
The group has successfully established itself as the leading player in the back-end assembly and packaging market with its innovative solutions and constant focus on customer value creation.
MEMBER
Founded in 2004, ASPEED Technology Inc. is a leading fabless IC design company headquartered in Hsinchu, Taiwan. With a focus on niche markets, ASPEED specializes in Cloud & Enterprise Solutions, including Baseboard Management Controller (BMC) SoC, Bridge IC, and PFR SoC, and Smart AV Solutions, including AVoIP SoC, Cupola360 spherical image stitching processor and Cupola360+
Software Kit.
ASPEED is devoted to developing innovative technologies in order to quickly respond to customer needs. In 2016, ASPEED acquired Broadcom’s Emulex Pilot™ remote server management chip business and it’s currently the world’s No. 1 BMC SoC provider. Also, ASPEED expanded its product portfolio by launching Cupola360 spherical image stitching processor and Cupola360+ software solutions in 2018.
Recognized as a trusted and reliable partner for customers, ASPEED has been awarded “Forbes Asia’s 200 Best Under a Billion” for nine consecutive years since 2014. The company was also recognized as “Taiwan Best-in-Class 100” by Taiwan Institute of Directors and CDRC Consulting Group in 2022.
Fore more information, please visit https://www.aspeedtech.com/ and https://cupola360.com/.
MEMBER
ATLANT 3D has mastered control of matter at the atomic scale, ushering in a new era in manufacturing. For research teams and manufacturers working at the frontiers of technology, we’ve created what was once thought unattainable: a system that builds materials and devices, atom by atom, with unprecedented precision and speed.
While others are constrained by traditional manufacturing limits, our breakthrough technology makes it possible to create the seemingly impossible, from next-generation quantum computers to devices that can operate in the harsh conditions of space. By replacing complex, resource-heavy processes with precise atomic-scale fabrication, we’re transforming technology while making manufacturing sustainable. We’re providing innovative teams the power to build technologies today that will define tomorrow’s world, using fewer resources to achieve greater possibilities.
Based in Copenhagen and London, with operations in the US, ATLANT 3D partners with research institutions and industrial companies to expand the boundaries of technological possibility.
ATLANT 3D is redefining microfabrication with its patented Direct Atomic Layer Processing (DALP®) technology. Our NANOFABRICATOR™ platform enables localized atomic layer processing for rapid material discovery, prototyping, and scalable device fabrication—bridging the gap from lab to fab.
Beyond hardware, we offer Pilot Projects and Joint Development Services to validate new materials and device architectures. A-HUB, our Microfabrication-as-a-Service (MaaS) centre, provides R&D teams with atomic-scale precision without the need for costly infrastructure.
From concept to fabrication, ATLANT 3D partners with academia and industry to drive next-generation innovations beyond conventional limits.
MEMBER
Established in 2000, ATREG is headquartered in Seattle, USA. ATREG is the only firm in the world dedicated to the holistic exchange of advanced technology cleanroom manufacturing infrastructure. A linchpin of the semiconductor industry for nearly 25 years, ATREG has served as an objective intermediary in the transfer of over $30 billion in assets, acting as an indispensable conduit for the growth of its partners and the industry as a whole while remaining uniquely focused on aggregate asset deployment and human capital retention. Some of the world’s largest and most reputable semiconductor, assembly & test (A&T), display, and electronics companies trust ATREG to successfully complete their manufacturing asset disposition and acquisition transactions all over the globe. More information on ATREG available at www.atreg.com.
ATREG provides global advanced technology companies with customized advisory and execution services for the disposition and acquisition of infrastructure-rich advanced technology manufacturing assets. Spanning North America, Europe, and Asia, our complex transaction assignments revolve around semiconductor fabs, cleanroom facilities, and technology campuses. We use our unique blend of market knowledge, industry relationships, and transaction expertise to help clients drive strategic decisions and achieve their fab transaction objectives. More information about our advisory services available at https://atreg.com/core-services/.
MEMBER
AUO Corp. is one of the world’s leading providers of optoelectronic solutions. Based on its profound R&D and manufacturing experience, AUO offers a full range of display applications and smart solutions integrating software and hardware, and leverages its core expertise to enter new business areas such as solar, smart retail, general health, circular economy and smart manufacturing service. Additionally, AUO has also been named to the Dow Jones Sustainability World Index since 2010.
MEMBER
Founded in 2019, AuthenX is an optoelectronic product development company specializing in Silicon Photonics and III-V Photonics key components. Our core technologies include optical design, high-speed circuits, precision packaging, and system integration. Leveraging industrial chain integration, we provide solutions and products for next-generation data centers, AI GPU systems, FTTX, and PON applications.
Our products and services include 400Gbps, 800Gbps, and up to 1.6Tbps optical transceivers, as well as high-power ELS modules for CPO in data centers and AI GPU systems. In silicon photonics, we focus on the hybrid integration of Optical I/O on PICs, including laser-to-PIC and PIC-to-FAU integration, aiming to improve PIC I/O packaging tolerance and throughput. Our products are applicable in fields ranging from PON and FTTX to data centers and AI GPU systems.
MEMBER
Ayar Labs is disrupting the traditional performance, cost, and efficiency curves of the semiconductor and computing industries by driving a 1000x improvement in interconnect bandwidth density at 10x lower power. Ayar Labs’ patented approach uses industry standard cost-effective silicon processing techniques to develop high speed, high density, low power optical based interconnect “chiplets” and lasers to replace traditional electrical based I/O. The company was founded in 2015 and is funded by a number of domestic and international venture capital firms as well as strategic investors. For more information, visit www.ayarlabs.com.
Address: 695 River Oaks Parkway, San Jose, CA 95134
Phone: 650-963-7200
Email: info@ayarlabs.com
MEMBER
Beckhoff is a German company, founded in 1980 by Mr. Hans Beckhoff. Beckhoff has grown into a global automation leader with subsidiaries operating in 75 countries.
Beckhoff is renowned for innovation and has contributed significantly with the adoption of groundbreaking technologies such as EtherCAT, an astonishingly fast fieldbus system, which in 2007 was approved as a semiconductor industry standard SEMI E54.20.
Beckhoff develops open automation systems built upon proven PC-based control technology. The product range spans from industrial PCs to an extensive portfolio of I/O devices and fieldbus components, to innovative drive technology, modern automation software, control cabinet-free automation, novel planar transportation systems, and hardware for machine vision.
Leading Wafer Equipment Manufacturers adopt Beckhoff new automation technology for innovative, smart tools, resilient against security incursions, to shrink the machine footprint and to drive down cost.
For more information, please visit:
MEMBER
BE Semiconductor Industries N.V. (“Besi”)is a leading supplier of semiconductor assembly equipment for the global semiconductor and electronics industries focusing primarily on the advanced packaging segment of the market. Besi develops leading edge assembly processes and equipment for leadframe, substrate and wafer level packaging applications in a wide range of end-user markets including electronics, mobile internet, cloud infrastructure, computing, automotive, industrial, LED and solar energy. Customers are primarily leading semiconductor manufacturers, assembly subcontractors and electronics and industrial companies. Besi’s ordinary shares are listed on Euronext Amsterdam (symbol: BESI). Its Level 1 ADRs are listed on the OTC markets (symbol: BESIY Nasdaq International Designation) and its headquarters are located in Duiven, the Netherlands. Research and Development is organizedin Switzerland, Austria and the Netherlands. Support and production is in Singapore, Malaysia and China. For more information, please visit our website at www.besi.com.
MEMBER
BHS Kinetic was established in 1975 as Bee Hup Seng is a Hokkien translation “Success through Beautiful Collaboration”. BHS is all about connectivity and helping our partners maintain an uninterrupted supply chain depends on each link working together seamlessly.
With 49 years of technical expertise in the Engineering and Logistics Sectors, with a special focus in the semiconductor industry developed during the last 2 decades, we are known for our care, sensitivity and technical know-how. Our capability has grown in the recent years that we serve the needs of life sciences, aviation and project logistics. While each new industry has different specialized needs, the same care and commitment to excellence is put into the projects has always been the way at BHS.
BHS North America started with one installer when the expansion to Scottsdale Arizona began in May of 2022. Since then, BHS North America has grown to a team of 24 ranging from HR Manager, Customer Service/Admin Assistance to Mechanical Installers to Material Handlers and are expected to keep expanding within the year to 50 employees. As BHS continues to grow, we are also excited to announce we have embarked on a new journey with EUV installs in Phoenix Arizona since March 2024.
MEMBER
The Bosch Group is a leading global supplier of technology and services. It employs roughly 428,000 associates worldwide (as of December 31, 2023). According to preliminary figures, the company generated sales of 91.6 billion euros in 2023. Its operations are divided into four business sectors: Mobility, Industrial Technology, Consumer Goods, and Energy and Building Technology. As a leading IoT provider, Bosch offers innovative solutions for smart homes, Industry 4.0, and connected mobility. Bosch is pursuing a vision of mobility that is sustainable, safe, and exciting. It uses its expertise in sensor technology, software, and services, as well as its own IoT cloud, to offer its customers connected, cross-domain solutions from a single source. The Bosch Group’s strategic objective is to facilitate connected living with products and solutions that either contain artificial intelligence (AI) or have been developed or manufactured with its help. Bosch improves quality of life worldwide with products and services that are innovative and spark enthusiasm. In short, Bosch creates technology that is “Invented for life.” The Bosch Group comprises Robert Bosch GmbH and its roughly 470 subsidiary and regional companies in over 60 countries. Including sales and service partners, Bosch’s global manufacturing, engineering, and sales network covers nearly every country in the world. The basis for the company’s future growth is its innovative strength. At 136 locations across the globe, Bosch employs some 90,000 associates in research and development, of which roughly 48,000 are software engineers.
MEMBER
Boston Consulting Group (BCG) is a global consulting firm that partners with leaders in business and society to tackle their most important challenges and capture their greatest opportunities. We are a leading consulting firm for the Semiconductor industry having conducted more than 400 projects over past 10 years, serving players across the entire semiconductor value chain. Beyond that we have lead extensive semiconductor-related work for leading companies in Data Center/Cloud, Mobile, Consumer Electronics, Auto, Industrial and Life Sciences. As a thought leader we have co-published research papers with SIA, as well as dedicated work on topics such as the reinvention of the software-defined vehicle, 5G, AR/VR and the Metaverse. www.bcg.com,
MEMBER
Brewer Science is a global leader in developing and manufacturing next-generation materials and processes that foster the technology needed for tomorrow. Since 1981, we’ve expanded our technology portfolio within advanced lithography, advanced packaging, smart devices, and printed electronics to enable cutting-edge microdevices and unique monitoring systems for industrial, environmental, and air applications.
MEMBER
Browave Corporation (3163, wwww.browave.com) is Engaged in the Development of Optical Communication Technology Leaders of the optical fiber communication industry with OEM/ODM development, production, and sales. Headquartered within the Science & Industrial Park of Hsinchu, Taiwan, Browave Corporation has been persisting in offering a large-scale service to world-class customers while earning much recognition since 1988.
With consistant efforts, our products are widely deployed in FTTH, Data Center, Cloud Computing, Digital Media, CATV, Broadband and, 5G applications. Customers chose Browave not only for the cutting-edge technique and manufacturing value we possess. but more for our in-time digital management ability.
Browave prides itself in the profound understanding of this new demand, committing itself to utilize the most and sophisticated All-Fiber and Micro-Optic technologies to provide our customers with a range of standardized products, in addition to offering products that cater to the special needs and specifications of our clients.
Fiber Array Unit (FAU), and Integrated Fiber Harness Unit (IFAU) for SR, DR, FR, LR types in HPC, Datacenter, Computing applications. Browave provides optical design, optical subassembly process, and package service for customer. For next generation, our design and service products can apply in CPO and OIO to reduce optical coupling loss, and deattachable FAU.
MEMBER
A spin-out of the Cambridge University, Cambridge GaN Devices (CGD) is a fabless semiconductor company that develops a range of energy-efficient GaN-based power devices to make greener electronics possible.
Operating at a market worth exceeding $30 billion, CGD completed several funding rounds to develop and deliver to the market a wide range of products for consumer and industrial applications.
In autumn 2021, the company was named Tech Scaleup of the Year by Business Weekly in the category of green electronics and was awarded the UK Business Angels Association (UKBAA) deep tech Investment of the Year.
MEMBER
Camtek is a developer and manufacturer of high-end inspection and metrology equipment for the semiconductor industry.
With manufacturing facilities in Israel and Germany, and eight offices around the world, Camtek provides state of the art inspection and metrology solutions in line with customers’ requirements.
Camtek has three product lines: Eagle and Hawk for inspection and 3D metrology and MicroProf for metrology.
Camtek’s inspection solutions provide comprehensive coverage for semiconductor manufacturing, supporting front-side inspection at sub-micron defect levels, as well as backside and edge inspection. Camtek’s 3D metrology solutions deliver precise measurements for micro-bump coplanarity and height, handling up to 500 million bumps per wafer. Our advanced metrology capabilities also cover critical parameters such as RDL CD/Overlay, TSV, topography, TTV, warp/bow, and layer thickness, ensuring comprehensive process control and high-quality manufacturing.
MEMBER
Chain Logic International Corp. (CLIC) was established in 1994, located in Hsinchu Taiwan, and our parent company is MPI Corporation/ www.mpi- corporation.com (IPO in 2003, TWSE: 6223)
CLIC is a professional agent in Taiwan, providing technical support and service for the manufacturing of high-tech industries such as Semiconductor, Optical devices, PCB, discrete devices, etc.
CLIC strives to continually deliver world-class service to our customers to assist them to optimize their production utilization.
Tel:+886-3-552 7788 Fax: +886-3-551 9899
E-mail: sales@cli.com.tw
Website: http://www.cli.com.tw/
We provide:
Advance Testing Solution (Modeling, Failure Analysis, RF, Low noise, High Power Applications)
Thermal Test (wafer level/package level)
Optical Devices Testing Solution (Micro LED, Lasers)
IC Package Process/Material
AOI/AVI Applications (Wafer level/ Package Level/PCB)
MEMBER
ClassOne Technology is a leading provider of advanced electroplating and wet processing systems for semiconductor and microelectronic device manufacturing around the world. Its advanced IP portfolio comprises highly customized, cost-effective processing solutions for critical wafer processes used to manufacture compound semiconductor devices for the photonics, power, 5G, microLED, and MEMS and sensor markets. With tools installed in leading-edge fabs and research organizations worldwide, ClassOne’s flagship Solstice platform is highly configurable, comprising fully and semi-automated electroplating and wet processing applications with the industry’s most competitive ROI. For more information, please visit classone.com.
MEMBER
When your expedited shipment needs to reach its destination fast, we do whatever it takes to make it happen. We are more than an international courier, we are your partner in emergency logistics. We understand your challenges and work tirelessly to find the perfect solution for every urgent shipment. Delivering customized, reliable, and efficient NFO and hand-carry solutions for time and mission-critical shipments from anywhere to everywhere in the world. CNW is a major supplier in the Semiconductor and Automotive Industry. In an aircraft on ground situation, getting the plane back in the air is priority #1. With global 48 offices worldwide, plus an arsenal of loyal local logistics partners, CNW is ready to take on your logistic challenges.
General email: info@cnwglobal.com
Phone number:
+1.800.852.2282
+1.718.656.7777
NFO
Our Next Flight Out service is what we’re known for. CNW’s longstanding relationships with airlines, paired with our unwavering determination and creative mindset, allow us to get your package to its destination on the fastest route possible – at a substantial cost savings.
We analyze every variable – such as connecting flight schedules, last minute delays, traffic, office operating hours and weather – before determining the fastest route to get your package to its destination. In fact, CNW’s dedicated team monitors each package as it passes through 16 unique milestones to ensure the trip goes smoothly. But you don’t have to worry about the process. We make it simple for you to move your expedited shipments – leave the logistics to us.
OBC
We analyze every variable – such as connecting flight schedules, last minute delays, traffic, office operating hours and weather – before determining the fastest route to get your package to its destination. In fact, CNW’s dedicated team monitors each package as it passes through 16 unique milestones to ensure the trip goes smoothly. But you don’t have to worry about the process. We make it simple for you to move your expedited shipments – leave the logistics to us.
Our On-Board Courier (OBC) service is secure, reliable, and gives your package that extra special care. All CNW couriers are highly trained and will transport your goods using only the best practices. Moving your shipment via On-Board Courier is as easy as making a phone call. Whenever you need us, we’re here.
ACF
Occasionally, there are extreme situations when the only way to get your package to its destination on time is with an air charter. When that happens, CNW has got you covered. We can charter an aircraft dedicated solely to your shipment – large or small – to guarantee on time delivery of any package.
MEMBER
Coherent empowers market innovators to define the future through breakthrough technologies, from materials to systems. We deliver innovations that resonate with our customers in diversified applications for the industrial, communications, electronics, and instrumentation markets. Headquartered in Santa Clara, California, Coherent has research and development, manufacturing, sales, service, and distribution facilities worldwide. For more information, please visit us at coherent.com.
MEMBER
Core Insight is a leading company for EOS/ESD control with technical expertise and key insights for Advanced Package Device application. Heterogeneous Integration technology revolutionary achieved new device era. This new technology also brought new challenges that much less ESD sensitivity before it finished package device. Core Insight has prepared to meet new level of ESD control with world best ionization solution which no one else have. Core Insight has understanding device technology, manufacturing process and ESD control know-how for Advanced Package Device handling.
MEMBER
Solving the World’s Toughest Optical Challenges with Innovation, Collaboration, and Precision.
Corning’s diverse Advanced Optics portfolio reflects our deep understanding of the properties of light, its interaction with matter, and the instruments used to detect it. For decades, we have been a trusted optics collaborator with leaders in a wide range of markets, including aerospace and defense, semiconductor materials and optics, telecommunications, and glass ceramics. We offer a diverse set of optics technologies providing solutions to full complex systems. Customer collaboration is the hallmark of our operations.
The Semiconductor Technologies & Solutions business is growing its investments to deliver an innovative and diverse set of glass and crystal components, and advanced optical sub-assemblies for modern lithography scanners, optical inspection systems, and advanced packaging substrates.
Learn more:
Semiconductors: Corning doesn’t just chip in; we help lead the way
Corning’s glass innovations help artificial intelligence enhance the way we work, learn, and live.
About Corning Incorporated
Corning is vital to progress – in the industries we shape and in the world we share. We invent life-changing technologies using materials science. Our scientific and manufacturing expertise, boundless curiosity, and commitment to purposeful invention place us at the center of the way the world interacts, works, learns, and lives. Our sustained investment in research, development, and invention means we’re always ready to solve the toughest challenges alongside our customers.
MEMBER
CREA, a business unit of Advantest Group, designs and manufactures top-tier automatic test equipment for power semiconductor devices.
The CREA product portfolio, combined with specific patented technologies (PCI, RTH, LSI), provides reliable test solutions; from the bare dice (KGD) up to complete assembled power module testing.
MEMBER
Deca is the semiconductor industry’s leading independent development, implementation and licensing provider of advanced packaging technology offering M-Series, the #1 volume fan-out technology and Adaptive Patterning, empowering designers with breakthrough ultra-high-density interconnect capability.
MEMBER
DIS Tech is a business branch of Technoprobe S.p.A. aimed at strengthening company’s competencies in the PCBs and high-performance interfaces market consolidating the full vertical integration of its business model.
Testing of a chip occurs both at wafer level and package level. These different phases require different types of device interface boards: a Probe Card (PC) with an integrated probe head and needles that contact the wafer before packaging, and the Final Test (FT) board that includes sockets specific to the packaged integrated circuits shape and size. DIS Tech provides the expertise to design, manufacture, and assemble these types of boards, as well as Prober Interface Boards (PIBs).
MEMBER
For over 20 years and a global network of 4,000+ suppliers, DSV Inventory Management Solutions have helped companies turn their supply chains into a competitive differentiator. By integrating our inventory management operations and supply chain finance program with automation, AI, and data analytics, we streamline processes, boost efficiency, and improve visibility throughout your supply chain — delivering uninterrupted revenue operations and maximizing working capital efficiency.
With the success of our solution, we were recently recognized by Intel as a 2024 Intel EPIC Distinguished Supplier
“As one of the 27 Distinguished Supplier Award recipients in 2024, DSV stands out among suppliers in Intel’s trusted supply chain,” said Keyvan Esfarjani, chief global operations officer at Intel. “Through their relentless drive to improve, they have achieved a level of performance that consistently exceeds Intel’s expectations and serves as a benchmark across the ecosystem.”
The Intel EPIC Distinguished Supplier Award recognizes a consistent level of strong performance across all performance criteria. Of the thousands of Intel suppliers around the world, only a few hundred qualify to participate in the EPIC Supplier Program. The EPIC Distinguished Award is the second-highest honor a supplier can achieve. In 2024, only 27 suppliers in the Intel supply chain network earned this award.
MEMBER
Advanced Laser & Plasma Innovation Provider
Established in 1994 and headquartered in Taiwan, E&R Engineering Corp.(OTC: 8027) has been delivering advanced laser and plasma solutions to the semiconductor industry for 30 years. Evolving from a tool provider to a solutions leader, we focus on TGV, FOPLP, FCBGA, FCCSP, ABF micromachining, and advanced materials like glass, SiC, and GaN, as well as 2.5D/3D Advanced Packaging.
Our strengths include flexibility, customized solutions, and robust global support. With 10+ service bases and 50+ field service engineers across Taiwan, China, Southeast Asia, the US,and Europe, we provide swift support and continuous process optimization.
TGV, FOPLP, FOWLP, FCBGA, FCCSP, Fan-Out, and Fan-In (Wafer Level Packaging),Laser Marking, Laser Cutting, Laser Drilling, Laser Scribing, Laser Grooving, Laser Beveling, Laser Debonding, Laser Ablation,
Plasma Dicing, Plasma Etching and Thinning, Plasma Descum, Plasma Clean, AOI, ABF Drilling, Dry Ice Cleaning, Carrier Tape
MEMBER
We are a global leader in advanced metrology and inspection solutions for the semiconductor industry. Previously known as UnitySC, we became part of EMD Electronics in October 2024. This strategic integration has expanded our resources and accelerated our ability to deliver advanced metrology and inspection solutions for the semiconductor industry.
We focus on enabling next-generation semiconductor technologies, including 3D integration, High Bandwidth Memory (HBM), and compound semiconductors used in AI accelerators, 5G communications, electric vehicles, etc. Our expertise spans both front-end and back-end processes, supporting manufacturers in improving yield, ensuring process control, and reducing time-to-market.
Our solutions are trusted by leading semiconductor companies worldwide to meet the industry’s increasing complexity and performance demands.
We design and manufacture state-of-the-art metrology and inspection systems that address the stringent requirements of semiconductor manufacturing. As a leader in TSV (Through Silicon Via) metrology, we support the production of HBM (High Bandwidth Memory) stacks used in AI accelerators and Large Language Model (LLM) training. Our solutions deliver highly accurate measurement and defect detection capabilities, helping manufacturers maximize yield and optimize cost-efficiency. We are also a strategic supplier to the compound semiconductor market, offering advanced inspection technologies for 5G communication chips and electric vehicle (EV) power devices. Our platforms integrate multiple inspection techniques ensuring comprehensive process control in both front-end and back-end manufacturing. Designed to be modular and scalable, our systems help semiconductor manufacturers address today’s production challenges while preparing for future technology nodes and integration schemes.
MEMBER
Entegris, Inc. is a leading supplier of advanced materials and process solutions for the semiconductor, life sciences, and other high-tech industries. With over 50 years of expertise, we solve our customers’ most demanding challenges through innovative product development and a comprehensive portfolio, grounded in:
– Materials science and analytics
– Microcontamination control
– Advanced materials
– Manufacturing excellence
With a global team of 8,000 employees and ISO 9001-certified facilities, we operate worldwide to support innovation and reliability. Our German and French offices play a key role in Europe’s semiconductor ecosystem, offering proximity and local expertise.
Sustainability is embedded in everything we do. From enabling the desalination industry to regenerating gas purifiers for semiconductor manufacturing at our German facility, we are committed to creating solutions that drive innovation while supporting a more sustainable future for our planet.
Facing material issues, yield challenges, or reliability concerns? Entegris is your trusted partner.
• Filters that purify process gases, fluids, and the ambient fab environment
• Liquid systems that dispense, control, analyze, or transport process fluids
• Gas delivery systems that safely store and deliver toxic gases
• Advanced liquid, gaseous, and solid precursors for chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes
• Advanced materials for wafer surface preparation and integration (SPI) and other processes requiring high purity chemistries
• Specialty chemicals including organometallic and organosilane materials used in semiconductor device manufacturing, monomers and polymers used in the manufacture of medical devices, and isotopically labeled materials used in clinical diagnostics
• Polishing pads for chemical mechanical planarization (CMP) applications
• Slurries for CMP applications and for polishing other substrates including ultra-hard surfaces like silicon carbide (SiC) and gallium nitride (GaN)
• Single-use assemblies for purity and reliability in storage, freezing, and shipment of biopharmaceutical products
• Specialty coatings that provide high-purity surfaces for wear resistance, corrosion protection, and smoothness
• Premium graphite and silicon carbide for high-performance applications
• Shippers and trays for protecting and transporting medical device and disk drive components
• Microenvironments that protect semiconductor wafers and reticles from contamination and breakage
• Cleaning solutions for e-chucks, probe cards, and test contactors
MEMBER
Etron Technology Inc. (TPEx: 5351.TW) is a world renowned fabless IC design and product company founded by Dr. Nicky Lu in the Hsinchu Science Park (February 1991). The company specializes in the production and research of buffer memory, logic chip designs, electronic applications, and system-on-chips.
Commercial DRAM, Industrial DRAM, Automotive DRAM, Known Good Die,Innovative DRAM,Flash, USB, 3D Sensing Chips
MEMBER
EV Group (EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices and nanotechnology devices.
A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin-wafer processing and lithography/nanoimprint lithography (NIL) equipment, photoresist coaters, as well as cleaning and inspection/metrology systems.
With state-of-the-art application labs and cleanrooms at its headquarters in Austria, as well as in North America and Asia, EVG is focused on delivering superior process expertise to its global R&D and production customer and partner base – from the initial development through to the final integration at the customer’s site.
Founded in 1980, EVG services and supports an elaborate network of global customers and partners all over the world, with more than 1250 employees worldwide and fully-owned subsidiaries in the U.S., Japan, South Korea, China and Taiwan.
TEL: +43771253110
email: info@evgroup.com
website: www.EVGroup.com
MEMBER
Evatec delivers complete thin film deposition solutions in Advanced Packaging, Semiconductor, Optoelectronics and Photonics – from UBM /RDL processes in FOWLP and FOPLP applications, to deposition of high performance piezoelectrics like AlScN for 5G networks or NIR bandpass filters for 3D sensing, face and gesture recognition in our smart devices. We deliver tailored production solutions with batch, cluster or inline architecture according to your substrate format, throughput, process and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in deposition through ‘in situ” capability for control of film properties during the deposition cycle. Reduce your process development times, enhance repeatability and yields or increase tool throughput.
MEMBER
Exyte is a global leader in design, engineering and delivery of facilities for high-tech industries. With a history of more than 100 years, the company has developed a unique expertise in cleanroom and related manufacturing technology.
Operating in over 20 countries and with around 9,900 talented employees across 80 nationalities worldwide, Exyte is serving the most technically demanding markets such as semiconductors, batteries, pharmaceuticals, biotechnology, and data centers. Exyte offers a full range of services globally from consulting to managing the implementation of turnkey solutions with the highest standards in safety and quality.
Exyte serves industry leaders determining the future of technology through world-class solutions to accelerate their sustainable growth and overcome future challenges.
With our global supply chain network, in-house technology prowess and experienced engineering and project execution teams, we deliver Certainty in schedule, cost and quality to our clients while addressing the need to reduce environmental impacts
Exyte’s Advanced Technology Facilities (ATF) business segment provides consulting and planning services, engineering and design services, as well as project management services surrounding the building of semiconductor fabs and their related installations for manufacturing, research and development.
We combine our range of services to provide turnkey engineering, procurement, and implementation solutions (Design & Build). Additionally, We offer services in the area of mechanical, electrical, and process systems (MEP), provides cleanroom technology, as well as necessary gas and chemical dosing systems, and carries out the installation (Tool Install) of the process equipment used for semiconductor manufacturing purposes.
The integration of the Critical Process Systems Group (CPS), Airgard, and Intega within the Exyte Group has expanded the range of products and services offered to microelectronic clients. The range offered encompasses innovative, critical subsystems, such as precision chemical and gas mixing systems, distribution and delivery systems, and special exhaust gas cleaning systems that enable chip manufacturers to significantly reduce their greenhouse gas emissions.
Our extensive experience in delivering sophisticated high-tech facilities, by means of its integrated design and build approach, provides our clients with the reliability they expect in terms of safety, costs, timelines, and quality.
MEMBER
ficonTEC is the recognized market leader for automated assembly and test manufacturing systems for high-end opto-electronic components and integrated photonic devices. Considerable process capability and dedicated assembly technologies have been accumulated over 25 years of serving the needs of a broad selection of industry segments – including telecom/datacom, high-power diode laser assembly, IoT-conform sensor devices from bio-med to automotive lidar, micro-optical modules, fiber-optics and more.
More recently, ficonTEC’s flexible and scalable Industry 4.0 automation options are enabling new approaches to development and manufacturing, in particular for co-packaged-enabled optics in data center applications as well as in mainstream industrial sectors such as photonics for automotive.
MEMBER
Fluor has helped shape the landscape of semiconductor manufacturing, from cleanroom studies and renovations executed in the early 1980s to EPCM services for award-winning fabs. We continue to be an industry leader in this fast-evolving and dynamic market.
With more than 27,000 employees in over 60 locations, we provide engineering, design, procurement, consulting, construction and construction management services to semiconductor and photovoltaic manufacturing clients globally. From concept development to start-up and commissioning services, we have the proven ability to manage complex projects. Our construction and engineering professionals sequence and manage projects with a focus on cost, schedule and execution control, while maintaining a safe work environment. We offer a single point of contact for all project phases.
MEMBER
Forehope(FHEC) was founded in Nov 2017, devoted to a worldwide and industry-leading high-end IC ,package assembly and testing . The end customer application covered consumer electronics,AI, industry, Automotive, Network,Data center etc. We can deliver package type include WBQFN, WBLGA, WBBGA, FCCSP, Hybrid-BGA, SiP, MEMS.,QFP, FCBGA etc. And also we can provide wafer level package include Bumping, WLCSP. Forehope continue to research & develop advanced wafer level package like as Fan-out, 2.5D/3D , which for chiplet solutions.
MEMBER
FormFactor is a leading provider of essential test and measurement technologies along the full IC life cycle – from characterization, modeling, reliability, and design debug, to qualification and production test. Semiconductor companies rely upon FormFactor’s products and services to accelerate profitability.
FormFactor’s leading-edge probe stations, probes, probe cards, advanced thermal subsystems, quantum cryogenic systems and integrated systems deliver precision accuracy and superior performance both in the lab and during production manufacturing.
Visit www.formfactor.com or follow us on LinkedIn.
MEMBER
Fraunhofer IPMS is a leading international research and development service provider for electronic and photonic microsystems in the application fields of Smart Industrial Solutions, Bio and Health, Mobility as well as Green and Sustainable Microelectronics. Research focuses on customer-specific miniaturized sensors and actuators, MEMS systems, microdisplays and integrated circuits as well as wireless and wired data communication, neuromorphic and quantum computing. Services range from consulting and design to process development and pilot series production.
The Fraunhofer IPMS is one of 75 institutes of the Fraunhofer-Gesellschaft, the leading organization for applied research in Europe. With nearly 32,000 employees Fraunhofer operates with an annual budget of €3.6 billion, €3.1 billion of which is generated by contract research — Fraunhofer’s core business model.
The range of services includes wafer processing, characterization & testing, assembly and interconnection technology and the organization of external and supplier services. At the Center Nanoelectronic Technologies (CNT), Fraunhofer IPMS offers applied research, process and material development on 300 mm wafers for microchip producers, suppliers, device manufacturers and R&D partners. 4000 m² of clean room space is available for processing customer orders as well as laboratory space for over 80 processing and analytical tools.
MEMBER
Our brand expresses our desire to provide customers and society with the value of Fuji Electric innovation. As experts in electronics manufacturing and pioneers of cutting-edge energy technology, we offer the products of the future to meet customer demands and contribute to the creation of responsible and sustainable societies everywhere we do business. Leveraging our brand, we endeavor to increase awareness and understanding of our beliefs throughout the world.
MEMBER
MEMBER
GigaDevice Semiconductor Inc. (SSE Stock Code 603986) is a global leading fabless supplier. The company was founded in April 2005 with branch offices in many countries and regions worldwide, providing local support at customers’ fingertips. Committed to building a complete ecosystem with major product lines – Flash memory, MCU, sensor and power as the core driving force, GigaDevice can provide a wide range of solutions and services in the fields of industrial, automotive, computing, consumer electronics, IoT, mobile, networking and communications. GigaDevice management system has achieved ISO 9001 and ISO 14001 certification. Constantly looking to expand the technology offering to customers, GigaDevice has also formed multiple strategic alliances with leading foundries, assembly, and test plants to streamline supply chain management. For more details, please visit: www.gigadevice.com
MEMBER
Gigaphoton already has grown to dominate the Asian market including Japan with a large number of installed bases including most of major semiconductor device manufacturers in this region as the world’s leading excimer laser light source manufacturer. Gigaphoton also continues to enjoy rapid growth in the US and European markets.
Semiconductors have continued to evolve toward next-generation device technologies. Based on a global business strategy, we will strive to be the No. 1 lithography light source provider by focusing on market needs in every phase of our business, from research and development to manufacturing, sales, marketing and customer support.
Development, manufacturing, sale, and service of excimer laser used for lithography tools in semiconductor manufacturing and for other uses, and Extreme Ultra-Violet light sources
MEMBER
GF is one of the world’s leading semiconductor manufacturers and the only one with a truly global footprint. We are redefining innovation and semiconductor manufacturing by developing feature-rich process technology solutions that provide leadership performance in pervasive high growth markets. As a steadfast partner, with a unique mix of design, development and fabrication services, GF works collaboratively alongside our customers to bring a broad range of innovative products to market. With a global customer base, a talented and diverse workforce and an at-scale manufacturing footprint spanning three continents, GF is delivering a new era of more.
MEMBER
Globetronics Technology Berhad provides Design, Development and Outsource Semiconductor Assembly and Test services. Product portfolio include highly miniaturised optoelectronics, sensors, IC devices and timing devices for mobile, networking, automotive and emerging medical segments. The company is collaborating with leading technology partners in the areas of wafer level packaging, silicon photonics and wafer level MEMS.
MEMBER
Gradiant is a Different Kind of Water Company. With a full suite of differentiated and proprietary end-to-end solutions for advanced water and wastewater treatment, powered by the top minds in water, the company serves its clients’ mission-critical operations in the world’s essential industries, including semiconductors, pharmaceuticals, food & beverage, lithium and critical minerals, and renewable energy. Gradiant’s innovative solutions reduce water used and wastewater discharged, reclaim valuable resources, and renew wastewater into freshwater. The Boston-headquartered company was founded at MIT and has over 1,000 employees worldwide. Learn more at gradiant.com.
MEMBER
Group Up Industrial Co. Ltd. (GP) began the business in 1990, we have the core belief of “Quality First, Service Foremost“, focusing on process technologies – “Coating,” “Drying,” and “Laminating.” Through automated integration, we have successfully enhanced the equipment capacity and precision to the semiconductor equipment industry.
Printed Circuit Boards (PCBs) lay the foundation for us, keeping pace with global trends, we are a leading global supplier of equipment for Flexible Printed Circuits (FPCs) and IC substrates, maintaining a high market share worldwide.
Building on our solid industry experience, GP has expanded into FO PLP and TGV, glass core substrate market, continuously innovating with the times.
FO PLP or TGV process equipment, advanced packaging tools, UV or IR curing, baking, annealing equipment with automation, FOUP, loadport, SECS/GEM integration service, etc.
MEMBER
Green Technology Investments LLC (GTi), headquartered in Scottsdale, Arizona, is a pioneering force in the semiconductor industry. With a focus on innovative remanufacturing and software solutions, GTi aims to revolutionize how businesses access advanced technology. Since its inception, in 2012, GTi has been committed to providing high-quality equipment and expert services to its global clientele. With offices strategically located in North America, Europe, and Asia, GTi is well-positioned to meet the needs of customers worldwide. By investing in research and development, GTi continues to expand the capabilities of remanufactured equipment and software, making cutting-edge technology more accessible and affordable for businesses of all sizes. GTi’s impact on the semiconductor industry is profound, enabling businesses to compete effectively in today’s dynamic market landscape.
Green Technology Investments LLC (GTi) offers a comprehensive range of products and services tailored to the semiconductor industry’s evolving needs. Specializing in remanufacturing and software solutions, GTi provides access to advanced technology at a more affordable price point. Their product lineup includes remanufactured semiconductor equipment such as CD-SEM, DR-SEM metrology systems, and MASK systems, ensuring high-quality performance and significant cost savings compared to new systems. In addition to equipment, GTi offers ready-to-ship spare parts, expert service support, and foundry capabilities to enhance customer experience and satisfaction. With a relentless focus on innovation and customer satisfaction, GTi is dedicated to empowering businesses of all sizes with the tools they need to thrive in today’s competitive global market.
MEMBER
GUSemiconsulting provides consultancy service throughout the Semiconductor value chain, from Fabless Design Companies, through Integrated Device Manufacturing and Foundry companies, to Equipment companies, from Institutional Investors to PrivateEquity investment funds.
– Support for international companies to define strategy for semiconductor manufacturing, market dynamics and technology needs.
– Consultancy services around foundry business processes, organisation structures and financial and operational setup.
– Support of small and medium enterprises on their go-to-market strategy, evaluation of technical and business value proposition.
– Support for Investment funds and Portfolio managers and Semiconductor related investments.
MEMBER
HCLTech is a global technology company, home to more than 220,000 people across 60 countries, delivering industry-leading capabilities centered around digital, engineering, cloud and AI, powered by a broad portfolio of technology services and products. We work with clients across all major verticals, providing industry solutions for Financial Services, Manufacturing, Life Sciences and Healthcare, Technology and Services, Telecom and Media, Retail and CPG, and Public Services. Consolidated revenues as of 12 months ending December 2024 totaled $13.8 billion. To learn how we can supercharge progress for you, visit hcltech.com.
MEMBER
DR. JOHANNES HEIDENHAIN GmbH develops and produces linear encoders, angle encoders, rotary encoders, and CNC controls for demanding positioning tasks. Rounding out its product portfolio are touch probes, camera systems, length gauges, digital readouts, signal converters, testing devices, and inspection devices. What’s more, its practical software solutions for the Digital Shop Floor empower end users to fully digitalize their manufacturing ecosystem. For more than 135 years, the company has been a standard-setting pioneer in measurement, control, and drive system technology. HEIDENHAIN products often lay the groundwork for technological advances in the most innovative global industries, including semiconductors, electronics, machine tools, and production equipment automation for the factories of tomorrow. More: www.heidenhain.com
MEMBER
Heller Industries was founded in 1960 and pioneered convection reflow soldering in the 1980s. Over the years, Heller has partnered with its customers to continually refine the systems to satisfy advanced applications requirements. By embracing challenge and change, Heller has earned the position of World Leader in Reflow Technology…
Thermal Process Solutions
MEMBER
Henkel was found in 1876 by founder Fritz Henkel. With our business units – Adhesive Technologies and Consumer Brands – we hold leading market positions in both the industrial and consumer goods businesses.
Henkel adhesive technologies is world No. 1 producer in adhesives, sealants and functional coatings. With trusted brands and high-impact solutions based on an unmatched technology portfolio we are creating value for all our stakeholders. As experts for industrial applications in more than 800 industries, we work closely with our customers and partners. Based on our broad technology portfolio and our strong innovative power, we continuously develop customized solutions.
In fiscal 2023, Henkel reported sales of more than 21.5 billion euros and adjusted operating profit of around 2.6 billion euros. Sustainability has a long tradition at Henkel, and the company has a clear sustainability strategy with specific targets. Today employs a diverse team of about 48,000 people worldwide.
Henkel is the world’s leading provider of qualified, compatible material sets for semiconductor packaging. We’re your global partner prepared to handle any challenge and develop a solution that is smart and sustainable — together with you.
Henkel’s total solutions approach leverages extensive global resources to deliver superior semiconductor packaging material technologies and cost-competitive performance. From die attach adhesives used in traditional wirebond packaging to advanced underfills and encapsulants for advanced packaging applications, Henkel provides the cutting-edge materials technology and global support top microelectronics companies require.
Henkel’s advanced packaging solution include:
•Anhydride-free, low warpage liquid compression molding underfill (LC-MUF) for fine-gap filling and low wafer-level warpage.
• High fracture toughness, low CTE capillary underfills for faster flow and thorough bump encapsulation on large dies.
• Low-stress, high-elongation lid attach materials for improved warpage control and enhanced reliability performance.
MEMBER
Heraeus Precious Metals is globally leading in the precious metals industry. The company is part of the Heraeus Group and covers the value chain from trading to precious metals products to refining and recycling. It has extensive expertise in all platinum group metals as well as gold and silver. With more than 3,000 employees at 17 sites worldwide, Heraeus Precious Metals offers a broad portfolio of products that are essential for many industries such as the automotive, chemicals, semiconductor, pharmaceutical, hydrogen and jewelry industry.
Heraeus offers top-quality solutions and products based on many years of experience and technical expertise. They are a reliable development partner for customers and find the best solutions for their requirements. One area for precious metals in semiconductors is the use of special alloys for semiconductor wafer testing, also known as probing. Heraeus offers a wide range of probe pin materials, from precipitation-hardened PdAgCu alloys to high-strength PtNi alloys. Probe pin materials made by Heraeus can be applied to any probe card type.
MEMBER
Hermes-Epitek was founded in 1977. Our vision is to “be a world-class semiconductor and Opto-Electronic company“ by delivering exceptional products and services, uniting the team with the motto ”service by Hermes-Epitek”. Over the 40 years, Hermes-Epitek has been supporting customers in Asia with our partners, serving more than 200 semiconductor and opto-electronic plants. We have successfully developed E-beam inspection, Ion beam implanter, and MOCVD systems. Our service teams are located in Taiwan, Singapore, Malaysia, and China, with more than 1200 employees worldwide. For more information, please visit https://www.hermes.com.tw/en/ Head Office Tel +886-3-579-0022 Fax +886-3-579-0011 Address No.18, Creation Rd., 1, Hsinchu Science Park, Hsinchu 300093, Taiwan
MEMBER
Hesse Mechatronics is a wire bonder, smart welder, and laser welder manufacturer. Hesse also provides automation solutions. We design and manufacture all types of wire bonders, ball, fine wedge, heavy wedge, fine ribbon, and heavy ribbon.
In addition to designing and manufacturing wire bonders, smart welders, and laser welders, Hesse also has Hesse Customer Solutions, Inc. that provides wire bond services to companies. We could provide product development, proof of concept, design of experiments, etc.
MEMBER
We have one of the most diverse semiconductor portfolios that includes thyristors, diodes, GTOs, IGCTs, IGBTs and RoadPakTM modules, which are manufactured at our facilities in Lenzburg, Switzerland and Prague, Czech Republic. We have one of the most diverse semiconductor portfolios that includes thyristors, diodes, GTOs, IGCTs, MOSFETs and IGBTs, which are manufactured at our facilities in Lenzburg, Switzerland and Prague, Czech Republic. Our research team continues pushing the boundaries of what is possible, using silicon and silicon carbide (SiC) technology to innovate the next generation of power electronics devices. Our advanced semiconductor technology brings unprecedented control to HVDC transmission systems. We are the heart of traction converters for high speed trains, metros and diesel-electric locomotives. Pumps, fans, roller tables, hoist and winches found throughout industry rely on us, and the world is able to enjoy greener mobility because we power the next generation of e-vehicles.
Hitachi Energy Switzerland Ltd.
Semiconductors
Fabrikstrasse 3
CH-5600 Lenzburg, Switzerland
Phone: +41 58 586 14 19
Fax: +41 58 586 13 06
Email: salesdesksem@hitachienergy.com
www.hitachienergy.com/semiconductors
MEMBER
HON.PRECISION,INC.(HPI) was founded by a group of engineering R&D personnel with a passion and vision for excellence, innovation and semiconductor automation equipment. We come from different cultures, backgrounds and experiences, but we share a common goal: to become the leader in the IC semiconductor automation equipment industry.
We are committed to providing the highest quality automated test sorting machines and related visual AOI/AI computing processing, normal/high/low temperature temperature control,down force, bar code, network, communication, and database to IC semiconductor customers around the world.production data central monitoring system, AGV… and other complete automated integrated solutions. With unremitting efforts and quality improvement and persistence, we have established close partnerships with major semiconductor customers around the world.
REMAIN UNCHANGED WITH A REMINISCENT MIND ALONG THE JOURNEY
From the R&D and production factory in Taiwan to the investment and establishment of subsidiaries in China and the United States, we cooperate with agent partners in various regions around the world to provide customer support and services nearby, and have won the Yushan Award for Best Supplier and Brand from many customers. With honors and testimony such as the Rock Award, HON.PRECISION,INC. is honored to participate in and witness the rapid development of semiconductor technology in human history. We will continue to research and develop, continue to work hard, and grow together with world-class semiconductor customers!
MEMBER
As a global auto parts vendor, we focus on autonomous driving, connectivity and electrification to be a leader in the era of smart mobility.
Based on three modular auto components (i.e. chassis, cockpit and front-end), we work to make driving safer and easier and also offer service parts that can best serve the purpose. In addition, we are the first global vendor to adopt a massive flow production line for the key components of hydrogen-fueled vehicles, playing a leading role in the development of the technology towards full production.
Our vision is to be a provider of differentiated mobility solutions that combine software and hardware in the upcoming mobility industry. To make this vision a reality, we have expanded our business areas to include smart mobility, UAM and robotics based on our R&D capability and production capacity.
MEMBER
MEMBER
Imec is a world-leading research and innovation center in nanoelectronics and digital technologies. Imec leverages its state-of-the-art R&D infrastructure and its team of more than 5,500 employees and top researchers, for R&D in advanced semiconductor and system scaling, silicon photonics, artificial intelligence, beyond 5G communications and sensing technologies, and in application domains such as health and life sciences, mobility, industry 4.0, agrofood, smart cities, sustainable energy, education, … Imec unites world-industry leaders across the semiconductor value chain, Flanders-based and international tech, pharma, medical and ICT companies, start-ups, and academia and knowledge centers. Imec is headquartered in Leuven (Belgium), and has research sites across Belgium, in the Netherlands and the USA, and representation in 3 continents. In 2021, imec’s revenue (P&L) totaled 732 million euro.
Further information on imec can be found at www.imec-int.com.
MEMBER
The founders of InchFab developed the core fabrication technology that now drives InchFab – a ultra-low-cost scalable “micro-sized” fab platform. Today InchFab uses this platform to provide highly flexible and cost-effective foundry services to its customers and partners. In 2025 InchFab announced that the complete microfab equipment set and facility is being offered. This turnkey wafer fab is a low capex alternative to anything available. It’s ideal for startups, universities and low to medium volume corporations.
Wafer foundry services and tunrkey minifabs
MEMBER
Indium Corporation® is a premier materials refiner, smelter, manufacturer, and supplier to the global electronics, semiconductor, thin-film, and thermal management markets. Products include solders and fluxes; brazes; thermal interface materials; sputtering targets; indium, gallium, germanium, and tin metals and inorganic compounds; and NanoFoil®. Founded in 1934, the company has global technical support and factories located in China, Germany, India, Malaysia, Singapore, South Korea, the United Kingdom, and the U.S.
-Solder pastes, preforms, ribbon, foil, wire
-Fluxes and adhesives for PCBA and semiconductor
-Thermal Interface Materials
-Over 220 solder and fusible alloys
-Ag and Cu sintering materials
-Reclaim, recycling, and dross recovery
-Indium, gallium, germanium metals and compounds
-NanoFoil® and thin-film materials
MEMBER
ITRI is a world-leading applied technology research institute with more than 6,000 outstanding employees. Its mission is to drive industrial development, create economic value, and enhance social well-being through technology R&D. Founded in 1973, it pioneered in IC development and started to nurture new tech ventures and deliver its R&D results to industries. ITRI has set up and incubated companies such as TSMC, UMC, Taiwan Mask Corp., Epistar Corp., Mirle Automation.
MEMBER
Here at Infineon, we combine entrepreneurial success with responsible action to make life easier, safer, and greener. Barely visible, semiconductors have become an indispensable part of everyday life. We play a key role in shaping a better future – with microelectronics that link the real and the digital world. Our semiconductors enable efficient energy management, smart mobility, as well as secure, seamless communications in an increasingly connected world. Infineon designs, develops, manufactures and markets a broad range of semiconductors and system solutions. The focus of its activities is on automotive and industrial electronics, communication and information technologies, IoT, sensor technology and security. The product range comprises standard components, software, customer-specific solutions for devices and systems, as well as specific components for digital, analog, and mixed-signal applications.
MEMBER
InnoLux’s commitment to transformation into a leading semiconductor player is evident in its innovative technologies by using the largest carrier for Fan-Out Panel Level Package. By setting new standards in performance and efficiency, InnoLux is shaping the future of semiconductor manufacturing.
InnoLux’s key business offers various of advanced packaging options such as CSP, LGA, QFN, BGA and SiP with Chip-First or RDL-First approaches. Innolux is also a semiconductor component provider of RDL substrate (redistribution layer) and GCS (glass core substrate). RDL and GCS substrates are the trend of making large AI packages, 2.5D packages and packages with slim designs. InnoLux also provides panel level final testing service, which increases throughput, minimizes handling time, and achieves lower total operating costs, ensuring superior product value and customer satisfaction.
InnoLux utilizes our talents and existing equipment from TFT-LCD to set up our production line. With experts join from the advanced packaging field, we are able to build our own packaging technology from scratch, this positions us as a rising star. By continuously refining our methods and embracing new solutions, InnoLux is embarking to become a key player in the advanced packaging arena.
MEMBER
Intel (Nasdaq: INTC) is an industry leader, creating world-changing technology that enables global progress and enriches lives. Inspired by Moore’s Law, we continuously work to advance the design and manufacturing of semiconductors to help address our customers’ greatest challenges. By embedding intelligence in the cloud, network, edge and every kind of computing device, we unleash the potential of data to transform business and society for the better.
To learn more about Intel’s innovations, go to newsroom.intel.com and intel.com.
MEMBER
JAS – Worldwide is a global leader in supply chain and logistics with a history dating back to 1978 in Milan, Italy. Growing from its regional roots, we now stand as one of the world’s largest family-owned logistics companies, headquartered in Atlanta, Georgia, USA. Today, JAS covers more than 100 countries with over 7.000 employees globally and continues to expand with its core culture, “People make the difference,” and its strong commitment to a sustainable future.
From raw silicon to the built-in device – JAS delivers logistics and supply chain solutions for the tech industry. We support semiconductor companies with integrated solutions across the entire supply chain: from construction of new fabs, capital equipment moves to production material and spare parts supply. Offering time critical, ad-hoc and multi-project logistics to build resilient and sustainable supply chains.
MEMBER
浙江晶盛机电股份有限公司是国内领先的专注于“先进材料 先进装备”的高新技术企业,围绕硅、蓝宝石、碳化硅三大主要半导体材料开发一系列关键设备,并延伸至化合物衬底材料领域。为半导体、光伏行业提供全球极具竞争力的高端装备和高品质服务。
Zhejiang Jingsheng Mechanical & Electrical Co., Ltd. is a domestic leading high-tech enterprise focusing on “advanced materials and advanced equipment”. It has developed a series of key equipment centering round the three major semiconductor materials of silicon, sapphire and silicon carbide, and further extended its business to the field of compound substrate materials. Provide globally competitive high-end equipment and high-quality services for the semiconductor and photovoltaic industries.
MEMBER
Jipal Corporation was established in the year of 1990. Under the leadership of Eric Tsai, Jipal began distributing various type of cutting edge Semiconductor Packaging and Testing, LED, PCBA relative equipments and materials. With expert teams and multiple service locations throughout Asia, Jipal delivered customer service excellence through custom-fit
recommendations and comprehensive post-sales servicing. After 30 years of cultivation and hard work, Jipal continues to expand service location. In addition to locations in Taiwan – Hsinchu, Taichung, and Kaohsiung – Jipal has locations in several industrial-focused cities in China, as well as Hong Kong, Singapore, Malaysia, Thailand, Vietnam, India etc. This allows Jipal to
provide localized real-time support.
Jipal proudly works with a cohesive team of employees that average more than 15 years of service. Providing customer-centric recommendations and service has captured the hearts of our principals and clients alike. We aim to provide total solution by becoming a comprehensive integrated supplier, ready to face every challenge the future presents. Dedicate to become a strong contributor in the semiconductor
industry and serve clients around the globe.
MEMBER
Kinsus is an IC substrate manufacturer located in Taoyuan,Taiwan. Product offering include substrates for FCBGA, FCCSP, SIP, CSP, PBGA and MMC; primarily used in Chipsets, graphic chips, DRAM, flash memory and logic chips.
MEMBER
KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards and flat panel displays. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward. Additional information may be found at kla.com
MEMBER
The KOKUSAI ELECTRIC Group is a specialty manufacturer of semiconductor manufacturing equipment that plays a key role of cutting-edge technology of the semiconductor evolution.
We specialize in the indispensable thin film deposition, and treatment in which to improve the thin film quality of the semiconductor device manufacturing process, expanding our business that has garnered immense praise by the customers from all around the world.
Based on our corporate slogan “Technology & Tai-wa for Tomorrow”, we will pursue economic, environmental, and social values from both business and ESG (resolving environmental and social issues and strengthening governance) aspects, with the aim of contributing to the achievement of SDGs and realizing a sustainable society and our group’s sustainable development.
MEMBER
The Kurt J. Lesker Company is a global leader in the design and manufacturing of vacuum technology solutions for research and production applications. Through our four divisions – Vacuum Mart, Process Equipment, Materials and Manufacturing – we provide the most complete line of products and service solutions in the vacuum industry worldwide. From the simplest components and fittings to intricate vacuum chambers and precision computer-controlled deposition systems, our company works with you to devise sound, economical solutions for all your vacuum science needs.
Visit our Website to find out more! www.lesker.com
MEMBER
King Yuan Electronics Corp. (KYEC) provides wafer probing, final product test and assembly service for the semiconductor backend supply chain. KYEC is the second-largest firm in terms of testing revenue and the largest professional pure-play testing company worldwide.
Founded in May 1987, headquarter of KYEC is located on the Gongdaowu Road in Hsinchu, Taiwan. The primary production factories are situated in Miaoli County. KYEC also established regional offices in North America, Japan, and Singapore to provide immediate business services for customers worldwide.
The factory area of KYEC in Taiwan is approximately 288,500 m2. The floor area of the factory is approximately 434,000 m2. The clean room area is 207,000 m2.
KYEC provides packaging and testing services for the back-end process of semiconductor production globally. The provided services include wafer probing, final product test, burn-in test, assembly services and other services. The production line covers Memory, Logic and Mixed-Signal, System on Chip (SOC), CMOS Image sensor (CIS) / Charge-Coupled Device (CCD), Liquid Crystal Display Driver (LCDD), Radio Frequency (RF) / Wireless and Micro Electro Mechanical system (MEMS) test solutions. KYEC has over 4800 test platforms in total. KYEC provides packaging services for Ball Grid Array (BGA), Quad Flat No-Lead (QFN)/Dual Flat No-Lead (DFN), Thin Small Outline Packages (TSOP), Land grid array (LGA), Embedded Multimedia Card (eMMC) / embedded Multi Chip Package (eMCP), Memory Card/ MICRO SD Card.
MEMBER
Kyocera Corporation (TOKYO:6971, https://global.kyocera.com/), the parent and global headquarters of the Kyocera Group, was founded in 1959 as a producer of fine ceramics (also known as “advanced ceramics”). By combining these engineered materials with metals and integrating them with other technologies, Kyocera has become a leading supplier of industrial and automotive components, semiconductor packages, electronic devices, smart energy systems, printers, copiers, and mobile phones. During the year ended March 31, 2023, the company’s consolidated sales revenue totaled 2 trillion yen (approx. US$15.1 billion). Kyocera is ranked #672 on Forbes magazine’s 2023 “Global 2000” list of the world’s largest publicly traded companies, and has been named among “The World’s 100 Most Sustainably Managed Companies” by The Wall Street Journal.
MEMBER
Lam Research Corporation is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Our strong values-based culture fuels our progress, and it’s through collaboration, precision, and delivery that we are driving semiconductor breakthroughs that define the next generation. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com
We combine superior systems engineering, technology leadership, and a commitment to customer success to advance the global semiconductor industry. Our broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand—it’s why nearly every chip today is built with Lam technology.
MEMBER
MEMBER
Lightmatter is leading the revolution in AI data center infrastructure and enabling the next giant leaps in human progress. The company was founded in 2017 out of MIT, with two of its co-founders – CEO Nick Harris, Ph.D. and Chief Scientist Darius Bunandar, Ph.D. – renowned as preeminent scientists in the field. Lightmatter has raised $850 million in funding by leading investors including Fidelity, Google Ventures, Sequoia, Spark, T Rowe Price, and Viking, and was most recently valued at $4.4 billion. Initially founded in Boston, Lightmatter moved its headquarters to Mountain View in 2023. It currently employs 230 employees across its headquarters in Silicon Valley, as well as offices in Boston, Toronto, Oregon and Arizona.
The company’s groundbreaking Passage™ platform—the world’s first 3D-stacked silicon photonics engine—connects thousands to millions of processors at the speed of light. Designed to eliminate critical data bottlenecks, Lightmatter’s technology enables unparalleled efficiency and scalability for the most advanced AI and high-performance computing workloads, pushing the boundaries of AI infrastructure.
MEMBER
LQDX Inc. pioneers advanced materials for AI and high-performance computing applications, unlocking new possibilities for the semiconductor industry. Founded in collaboration with the Stanford Research Institute (SRI), the company, based in Silicon Valley California, has developed a suite of cutting-edge chemistries and process technologies to revolutionize chip interconnect architecture. As the demand for computing power skyrockets with the rapid rise of AI and ML computing, new tools are needed in the semiconductor packaging and Ultra High-Density Interconnect (UHDI) toolbox.
MEMBER
MacDermid Alpha Electronics Solutions, a prominent division of Element Solutions Inc, holds a distinguished position as a global leader in the field of fully integrated materials; helping to deliver enhanced performance, reliability, and sustainability to electronics manufacturers worldwide.
With a legacy spanning over a century of innovation, MacDermid Alpha has garnered the trust of manufacturers spanning more than 50 countries.
What sets MacDermid Alpha Electronics Solutions apart is its unique ability to promptly deliver high-quality solutions and provide technical services that comprehensively cover the entire electronics supply chain. They are actively shaping industries such as automotive, consumer electronics, mobile devices, telecom, data storage, and infrastructure.
For those seeking to power their path to success in the electronics industry, MacDermid Alpha Electronics Solutions offers an exceptional opportunity. Join them on their journey of innovation and excellence.
MEMBER
Manz Asia specializes in innovative production solutions for advanced panel-level packaging. We provide a comprehensive range of manufacturing systems, from customized machines for lab and pilot production to standardized modules and turnkey lines for mass production. Manz’s solutions deliver superior resource efficiency, increased throughput, and faster time-to-market, empowering customers to boost productivity and competitiveness.
Manz Asia continues to drive innovation in RDL solutions, expanding beyond coreless Fan-Out Panel-Level Packaging (FOPLP) to pioneering technologies for glass core production.
Our advanced RDL capabilities for glass cores, supported by etching equipment that achieves over 95% uniformity in processing 510 x 515 mm Through Glass Via (TGV) substrates, ensure precise and consistent results.
MEMBER
We believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. At Marvell, We go all in with you.
Focused and determined, we unite behind your goals as our own. We leverage our unrivaled portfolio of infrastructure technology to identify the best solution for your unique needs. And we sit shoulder-to-shoulder with your teams to build it. Agile in our thinking, and our partnerships, we look for unexpected connections that deliver a competitive edge and reveal new opportunities. At Marvell, we’re driven by the belief that how we do things matters just as much as what we do. Because, with a foundation built on partnership, anything is possible.
MEMBER
Materials Analysis Technology Inc. (MA-tek) is an enterprise dedicated to the knowledge economy semiconductor industry. The application of materials analysis in various fields of research, processing, and quality control to enhance the speed of product development in the industrial sector. MA-tek has successfully integrated rare and valuable instrument operation services, while also incorporating consultancy and advisory functions to provide a diverse range of sample preparation services accurately and correctly.
MA-tek ‘s professional services can meet the analytical needs of clients in electronic product development, new material structures, and new process development. By effectively transforming traditional complex experimental research methods into standardized commercial services, MA-tek is committed to providing efficient and convenient solutions.
MA-tek possesses the most comprehensive materials analysis laboratory and electronic/electrical laboratory. It holds a leading position globally and promises customers reasonable success rates and delivery times.
Materials Analysis Technology Inc. (MA-tek) provides services in Materials Analysis (MA), Failure Analysis (FA) , Reliability Testing (RA) services, Failure Analysis (FA) and Reliability Testing (RT) services. MA-tek currently has 16 laboratories set up around the world, providing around-the-clock assistance in logistic support and technical services.
MA-tek is an independent lab with ISO9001, ISO15408 and IECQ17025 international accreditations and filed for an IPO in August 2009. Excellent consulting practices and highly efficient analysis techniques ensure quick response time and data delivery within 24 hours.
MA-tek focuses on capital investment of the hi-end analytical systems in solid state electronics and novel materials applications. The equipment performance characteristics have brought the labs to the highest tier of art. The ultimate goal of MA-tek’s labs is to be the most advanced research center while staying close to our customers and become THE BEST R&D PARTNER® with success.
For the MA-tek account number of express delivery, please contact:+886-3-6116678 ext : 3821
MEMBER
Materion Electronic Materials is a leading global supplier of thin-film deposition and sputtering target materials for semiconductor applications ranging from data storage, power and communications to optoelectronics, logic and advanced memory. Our services include precious metals recovery and refining, precision parts cleaning and metals management.
Customers choose Materion for a variety of reasons, including:
For more information, visit us at materion.com/electronic-materials
MEMBER
MECAL High-tech / Systems is an independent global engineer with a strong and signature OEM product base that specializes in being in control of critical conditions and technologies for research, development and production in high-tech materials and high-tech systems. Our technology is developed in and for the semiconductor industry, we work at nanometer operations on a global scale.
We focus on applied research and engineering and have been developing a vast IP base, and a very strong OEM product base.
We are involved in multiple co-developer relations with high-end developers and demanding top tier customers.
We optimize and enable technologies through our applied engineering over the full span of the technology: design/ specification phase, prototypes and 1st series production, as well as custom made products, operations and support in the operational phase of technologies. we perform vibration monitoring and mitigation, temperature control, shielding, active /passive mass and material flow and high accuracy metrology / positioning at the highest levels.
All is inside machines, mitigation around machines and adaption of fabs to meet the newest critical standards.
MEMBER
HIGHLIGHTS
SKILLS AND EXPERIENCE
MEMBER
Mesoline has developed and is scaling up a fundamentally new micro-fabrication process, micro-channel particle deposition (MPD), used to deposit nanomaterials. This wafer-scale thick-film deposition process is a cost-effective and scalable platform technology that enables next generation semiconductor devices such as MEMS & Sensors and other ultra-miniaturized products.
Founded in 2017, Mesoline is headquartered in the Netherlands and enjoys strong financials. Mesoline provides MPD as a contract manufacturing service to semiconductor companies.
MEMBER
Micron is a world leader in innovative memory solutions that transform how the world uses information. For over 40 years, our company has been instrumental to the world’s most significant technology advancements, delivering optimal memory and storage systems for a broad range of applications.
MEMBER
Atotech, a brand within the Materials Solutions Division of MKS Instruments, develops leading process and manufacturing technologies for advanced surface modification, electroless and electrolytic plating, and surface finishing. Applying a comprehensive systems-and-solutions approach, Atotech’s portfolio includes chemistry, equipment, software, and services for innovative and high-technology applications. These solutions are used in a wide variety of end-markets, including datacenter, consumer electronics and communications infrastructure, as well as in numerous industrial and consumer applications such as automotive, heavy machinery, and household appliances. With its well-established innovative strength and industry-leading global TechCenter network, MKS delivers pioneering solutions through its Atotech brand – combined with unparalleled on-site support for customers worldwide. For more information about Atotech, please visit us at atotech.com
MEMBER
Founded in 1995 and headquartered in Hsinchu, Taiwan, MPI Corporation is a global technology leader in the testing of Semiconductors, Light Emitting Diodes (LED), Photo Detectors, Lasers, Materials Research, Aerospace, Automotive, Fiber Optic, Electronic Components, and more. MPI’s five main business sectors include Probe Card, Photonics Automation, Advanced Semiconductor Test, Thermal Test, and Celadon Systems.
MPI offers a wide-ranging portfolio of products and services, from advanced probe card technologies, mass production and engineering probe systems, testers, material handlers, inspection systems, and thermal air stream systems. MPI’s diverse product portfolio and expertise in leading edge technologies creates a healthy environment for employee growth and retention. With the cross-pollination of technologies and talent, we are committed to delivering long-term value to enhance the competitiveness of our customers.
MPI is the first Taipei Exchange (TPEx) listed probe card company in Taiwan.
MEMBER
MSS USA CORP, a subsidiary of MSSCORP CO., LTD, proudly carries forward our parent company’s legacy of delivering precise, timely, and high-quality analytical services to clients in the semiconductor industry. We are dedicated to being the premier R&D partner in this field.
Our core expertise lies in Transmission Electron Microscopy (TEM) analysis, offering a comprehensive suite of structural (TEM/STEM imaging modes), chemical (EDS), and dimensional (automated CD measurements) insights into materials of interest. Utilizing our proprietary and patented sample protection strategies, alongside state-of-the-art FIB sample preparation techniques, we provide highly accurate TEM analysis for both robust and delicate materials integral to cutting-edge technologies, such as low-k dielectrics and EUV photoresists. This specialized approach delivers invaluable insights to R&D engineers, accelerating innovation and development.
Additionally, our parent company extends a broader range of analytic services, including Failure Analysis (FA), Reliability Analysis (RA), Surface Analysis (SA), and silicon photonics waveguide defect analysis, further enhancing our capabilities to support diverse client needs.
MEMBER
Nano Electronics and Micro System Technologies, Inc., founded in 2002, is a leader in plasma technology innovation, providing high-performance surface treatment solutions across industries including semiconductors, PCBs, and optoelectronics. With a strong R&D team, we’ve secured multiple patents in high-density vacuum and atmospheric plasma technologies, serving markets in Taiwan, China, Japan, U.S., Europe and other parts of Asia.
Our core strengths lie in continuous innovation and excellence, extending beyond electronics to consumer industries and biomedicine. We value integrity, cooperation, and a ‘family culture’ that nurtures employee growth and fosters a sense of belonging.
Committed to continuous improvement, we strive for excellence in every aspect of our operations. Looking ahead, we aim to lead in technology while contributing to societal and economic advancement through our innovative products and global partnerships.
MEMBER
nD-HI Technologies Lab (nD-HI) enables advancement in AI, HPC, photonics, 5G RF/mmWave, power, IoT devices and substrates, through heterogeneous integration at die, package and/or system levels. Uniquely equipped with both advanced packaging and IC design expertise, nD-HI provides the services to global customersin IC design, IC Assembly and test, end use system and key suppliers.
– nD-HI devise chiplets/SiP architectures that have the best chances of meeting final product objectives (TTM, cost/yield, quality, reliability…) based on extensive product realization experiences covering.
– Perform product feasibility assessment and IC-package co-design & co-optimization with customers and suppliers.
– Select best-of-breed OSATS, key BOM and R&D suppliers with customers.
Co-develop design IP, glue IP and critical gap technology. Benefits of glue IP can include
• Power delivery to multiple devices at different voltages
• Dense volatile and non-volatile storage
• Common security for multiple dies
• High – bandwidth, low – power interconnect for die – to – die communication
• Global clocking solutions, complex system test in a package…
– Assist in Advanced Product Quality Planning (APQP) per customer requirements.
MEMBER
nepes Corporation, founded in 1990 and headquartered in Cheongju-si, South Korea, is a provider for semiconductor assembly & test, chemical products and energy solutions.
nepes provides turnkey solutions for various semiconductor packaging technologies, including flip-chip bumping, wafer-level packaging, and fan-out wafer and panel level packaging. nepes Corporation is specialized company of advanced semiconductor packaging and testing, particularly with its Fan-Out technology, such as FO-SiP, FO-EDP, FO-PoP and 2.5D.
MEMBER
Neumonda has been founded with profound know how and the ‘DNA’ of former German memory powerhouse ‘Qimonda’. It has the ability to provide memory and storage solutions to worldwide customers, especially targeting the industrial and specialty market segments.
“Deep understanding of the technical/supply chain aspects of product design and developments, in addition to sales and marketing are building the foundation of the the global management team to serve these markets with real ‘Memory Competency‘.”
MEMBER
Headquartered in the Netherlands, Nexperia is a global semiconductor company with a rich European history and over 15,000 employees across Europe, Asia, and the United States. As a leading expert in the development and production of essential semiconductors, Nexperia’s components enable the basic functionality of virtually every electronic design in the world – from automotive and industrial to mobile and consumer applications.
The company serves a global customer base, shipping more than 100 billion products annually. These products are recognized as benchmarks in efficiency – in process, size, power, and performance. Nexperia’s commitment to innovation, efficiency and stringent industry requirements are evident in its extensive IP portfolio, its expanding product range, and its certification to IATF 16949, ISO 9001, ISO 14001 and ISO 45001 standards.
MEMBER
Founded in 1918, Nitto has developed our business globally to offer various products in fields such as electronics, automobiles, housing, infrastructure, environment, and medicine. The Nitto Group strives to become a centennial company that is always trusted by customers, embraced by employees with pride, makes an active contribution to society, and continuously grows on a global scale with our slogan, “Innovation for Customers.”
MEMBER
Nordson Test & Inspection offers its SMT & Semiconductor customers a robust product portfolio, including Acoustic, Optical and both Manual and Automated X-ray Inspection systems, X-ray Component Counting systems and Semiconductor measurement sensors. Nordson Test & Inspection is uniquely positioned to serve its customers with best-in-class precision technologies, passionate sales and support teams, global reach, and unmatched consultative applications expertise.
About Nordson
Nordson Corporation (Nasdaq: NDSN) is an innovative precision technology company that leverages a scalable growth framework through an entrepreneurial, division-led organization to deliver top tier growth with leading margins and returns. The Company’s direct sales model and applications expertise serves global customers through a wide variety of critical applications. Its diverse end market exposure includes consumer non-durable, medical, electronics and industrial end markets. Founded in 1954 and headquartered in Westlake, Ohio, the Company has operations and support offices in over 35 countries. Visit Nordson on the web at https://www.nordson.com/, https://x.com/nordson_corp or https://www.facebook.com/nordson/.
High Accuracy. High Resolution. High Speed.
Nordson Test & Inspection manufactures world-class metrology and inspection systems & sensors. Our inspection metrology equipment meets the highest standards for electronic assembly and semiconductor applications. Acoustic, Optical, Bond Test, X-ray Components, Manual X-ray, and Automated X-ray systems enable you to identify even the smallest defects at high resolution and capture critical measurements. Wireless Semiconductor Sensors can significantly improve semiconductor tool setup and maintenance.
We design, develop, and manufacture innovative inspection & metrology equipment for a wide range of industries, including AI, Server & 5G, Consumer, Automotive, Power & Energy, Sensors & LED, Aerospace & Defense and Medical.
Our key segments include Advanced SMT, Advanced Packaging, Front-End semiconductor, Mid-End semiconductor, and Back-End semiconductor.
The right inspection & metrology equipment can elevate your product quality. If you’re looking to save time and money, and improve your yields, processes, and productivity, of the semiconductors or the electronics you manufacture, our inspection equipment can help you ensure exceptional quality every time.
MEMBER
NSW Automation is a leading global company specializes in precision fluid dispensing systems for today’s global microelectronics and semiconductors industries. We are Malaysia-based and headquartered in Penang.
We’ve created the smallest solder paste micro-dispensing solution for achieving remarkably tiny dispensed dots as small as 80μm which are extremely demanding in today’s microelectronics packaging industry such as Electric Vehicle (EV) components, 5G devices, biomedical applications, micro-electromechanical systems (MEMS), as well as active and passive components in Hybrid Surface-Mount Technology (SMT) assembly processes. Other than solder paste, our micro-dispensing solutions are applicable for multiple materials such as conductive silver epoxies, UV adhesives, Silicone gel and etc.
Besides, we have wide business footprints and branches around the world with professional sales and technical service authorities in the USA, China, Taiwan, South Korea, SEA countries, Germany, Russia, and many more.
With more than 20 years of shaping our experience in the advanced dispensing industry, we are passionate about delivering high-performance and reliable precision liquid dispensing solutions to support our customers’ automated dispensing needs.
We are highly recognized for our solder paste dispensing technology. It is capable of dispensing microdots and tiny lines – something unseen in today’s dispenser industry. As a result, our clients trust us to fulfil challenging dispensing applications. We always strive to achieve accuracy, performance, and the ability to dispense any volume needed within a targeted range.
MEMBER
Okmetic, established in 1985, is the 7th largest silicon wafer manufacturer in the world. The company specializes in 150-200 mm silicon and SOI wafers, serving power, MEMS, and RF device industries. Okmetic is a key player in the power device sector, offering optimized silicon wafers for discrete power devices, power management applications, and GaN growth.
Okmetic has worldwide sales organization and headquarters located in Finland, where the majority of its silicon wafers is manufactured. The company’s fab expansion set to be operational in early 2025 will increase 200 mm wafer capacity significantly. Okmetic operations are certified under ISO 9001:2015, ISO 14001:2015, and IATF 16949:2016, highlighting its commitment to quality and sustainability.
Okmetic is the leading supplier of advanced, high value-added silicon wafers for the manufacture of MEMS and sensors, RF filters and devices as well as power devices. We have the most extensive 150 to 200mm wafer portfolio in the market comprising of comprehensive lines of SOI wafers and High Resistivity RFSi® wafers as well as Patterned wafers, SSP and DSP wafers, TSV wafers, Wafers for Power devices and GaN-on-Si applications.
Okmetic’s silicon wafers are tailored to the customer’s product, process and technology needs, and produced in volume production. This ensures optimum wafer performance leading to multiple customer benefits: increased device performance and functionality, more advanced design possibilities, improved yield as well as streamlined and cost-effective manufacturing. Our silicon wafer solutions can be found e.g. in smartphones, portable devices and automotive electronics, and they support applications related to industrial process control, healthcare, the Internet of Things, and power and efficiency improvement.
MEMBER
Omnitron Sensors is rewriting the script on building high-performance low-cost sensors for the world of tomorrow. Leveraging its executive team’s extensive experience designing, fabricating, and using MEMS sensors, Omnitron has developed a “new topology for MEMS” that addresses some of the most pressing pain points in MEMS manufacturing.
Featuring the clever arrangement of silicon process steps and a new packaging method, Omnitron’s topology significantly improves performance to produce robust, rugged, reliable, repeatable, and low-cost MEMS sensors in high volumes by leveraging commercial MEMS foundries.
The company’s first proof point of its new topology for MEMS is a large, robust, low-cost, MEMS scanning mirror for long-range LiDAR.
MEMBER
onsemi (Nasdaq: ON) is driving disruptive innovations to help build a better future. With a focus on automotive and industrial end-markets, the company is accelerating change in megatrends such as vehicle electrification and safety, sustainable energy grids, industrial automation, and 5G and cloud infrastructure. With a highly differentiated and innovative product portfolio, onsemi creates intelligent power and sensing technologies that solve the world’s most complex challenges and leads the way in creating a safer, cleaner, and smarter world.
MEMBER
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
MEMBER
OPTOELEC develops WLO(Wafer Level Optics) that provide ultra-small, high-performance optical components for industries that need lightweight, scalable optics.
WLO is an optical component with a pattern of micro to nano units manufactured by semiconductor processes and is a high-tech industry that requires optical design and process technology.
We serve automotive manufacturers, AR/VR developers, and smart device companies seeking to push the boundaries of device miniaturization and high-performance.
WLO(Wafer Level Optics) / MLA(Micro Lens Array) / DOE(Diffractive Optical Element) / Meta-Lens
MEMBER
Palomar Technologies is a leading supplier of precision die attach, wire bond and vacuum reflow equipment and services, supporting advanced photonic and microelectronic device manufacturers. Palomar’s automated bonding and vacuum reflow machines are known for their flexibility, accuracy, reliability and speed. With a global installed base established over four decades and operations in California, Singapore and Germany, all Palomar customers are directly supported by Palomar service engineers for the life of the equipment. Every Palomar location offers its regional customers Contract Manufacturing Assembly Services, utilizing Palomar’s equipment and process expertise to bond, assemble, package and test a broad range micro-electronic and opto-electronic devices.
Die Bonders
Ball Wire Bonders
Wedge Wire Bonders
Vacuum Pressure Reflow Ovens
High Vacuum MEMS Ovens
Ultrasonic Wire Bonders
Thermocompression Die Bonders
Wafer-Level Die Bonders
Gold Ball Bumpers
MEMBER
Pentamaster Group provides automation technology and solutions to multinational manufacturers in the automotive, semiconductor, electro-optical, consumer electronics, and medical sector, spanning across APAC, North America and Europe. Besides the HQ and production plant in Penang, Malaysia, the Group has strategic presence globally with offices located in the USA, Japan, Germany, Singapore and production facilities in China.
With the speed and magnitude of technology progress today, Pentamaster Group will always be bold enough to explore new innovations. For years, the Group has been one of the leading global providers of automated test equipment to suit different requirements and needs of customers from various industries. In the automotive segment, the group has developed a proprietary SiC wafer burn-in system, being one of the top four manufacturers in the world, in creating a niche market space for this growth sub-segment and to solidify the Group’s position in the automotive industry.
MEMBER
Pfeiffer Vacuum+Fab Solutions delivers best-in-class vacuum and semiconductor fabrication solutions for a wide range of industries, including semiconductor manufacturing, analytics, research, and other high-tech applications. We combine advanced vacuum technologies, such as pumps, leak detection systems, and measurement instruments, with specialized fab solutions to support critical processes with maximum precision and reliability.
As part of the Busch Group, one of the world’s largest manufacturers of vacuum pumps, vacuum systems, blowers, compressors, and gas abatement systems, we have access to a global network of expertise and innovation. The Busch Group brings together three industry-leading brands: Busch Vacuum Solutions, Pfeiffer Vacuum+Fab Solutions, and centrotherm clean solutions.
With over 8,000 employees in 44 countries, the Busch Group is committed to delivering superior service and cutting-edge technologies worldwide. The group operates 23 production plants across key regions, including China, Germany, the USA, Vietnam, and others, ensuring global reach with local support.
MEMBER
Since its foundation in 1970, PI (Physik Instrumente) has established itself as a leading manufacturer of precision positioning technology. The key element and motivation of the entrepreneurial behavior have always remained the same: Finding the best possible solution for the customer.
PI is well known for the quality of its products and has been one of the leading players in the global market for precision positioning technology for many years. One of the most important building blocks for this is the team spirit within the international PI family, which is based on mutual understanding and support that goes beyond international borders and functional restrictions.
PI is a privately owned company with healthy growth, 1,790 employees worldwide and a flexible, vertically integrated organization, which enables it to fulfill almost any request in the field of innovative precision positioning technology. The foremost priority for PI is to be a reliable and highly qualified partner for the customer.
PI Supports Semiconductor Equipment Suppliers Worldwide with High-Precision and Reliable Motion and Control Solutions for Applications Such As:
MEMBER
PLANOPTIK sets global standards with glass-based solutions for the semiconductor industry, microsystems, and microfluidics with manufacturers in over 40 countries. PLANOPTIK creates customized products that support cutting-edge sensors, microelectronics, and medical diagnostics.
In advanced packaging, PLANOPTIK’s glass core substrates enable ultra-compact microchip arrangements, enhancing performance, energy efficiency and device miniaturization. Its high-precision glass micro circuit boards are key for future semiconductor advancements, using copper-coated, lithographically processed glass for critical connections.
PLANOPTIK collaborates with its customers to design and produce high-volume, wafer-based components, offering solutions from glass wafers to thin-wafer handling tools.
PLANOPTIK develops and manufactures Wafers & Panels for business different business areas like Advanced Packaging and Wafer-/Panel-Level-Packaging. PLANOPTIK also creates Carrier & Tools for Thin-Wafer-Handling and processing applications. Furthermore, PLANOPTIK develops and produces Microreactors, Fluidic Chips and syringe pumps for Microfluidics and Flow Chemistry applications.
MEMBER
Established in 1974, Plasma-Therm is a global manufacturer of advanced plasma processing equipment, providing tailored solutions to the specialty semiconductor markets, including wireless, power devices, photonics, sensors, and MEMS, advanced packaging, memory, and R&D. Plasma-Therm’s products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of Plasma-Therm’s global customer base.
Phone: +1 727-577-4999 or information@plasmatherm.com
Plasma-Therm’s product portfolio includes single wafer, batch, and cluster solutions for Etch (ICP, RIE, DSE, IBE, ALE); Deposition (PECVD, HDPCVD, F.A.S.T); Material Modification (HDRF, RTP); and Die Singulation Applications (PPDOT). Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of our global customer base.
MEMBER
Plasmatreat is the key enabler technology for microfine cleaning, surface activation and atmospheric plasma coatings (CVD) of nearly all kinds of materials – from plastics, metals and glass in a wide variety of industries including semiconductors. We prepare surfaces in an environmentally friendly way – replacing the need for chemicals and primers.
Atmospheric plasma equipment enabling state of the art surface preparation – activation, cleaning, reduction and nano-coatings for:
Flux-free Advanced Chip Packaging, Power Modules, Wire Bonding, Display Bonding, LED, PCBA and Consumer Electronics.
Area-selective, inline plasma processes in atmosphere including CVD coatings (dielectric barrier coatings, tie layers and anti-corrosion protection).
MEMBER
PlayNitride has become a leading company of MicroLED technology through the breakthrough innovations and diverse business models with PixeLED Display, PixeLED Matrix, µ-PixeLED, and SMAR・Tech solutions. PlayNitride has strong capability to integrate all required technologies to realize MicroLED display applications.
MEMBER
Polar Semiconductor is a U.S.-owned MN located 200mm foundry specializing in sensor and power semiconductors, for high-volume manufacturing of silicon and wide-bandgap ICs and discrete devices, and specialty products tailored for Automotive, Industrial, and Aerospace & Defense applications.
MEMBER
POMME was established in 2015 and is located in Hsinchu, Taiwan. It is engaged in high-end wafer test integration and design services for the semiconductor industry, focusing on providing you with the most efficient wafer parameter test solutions. Such as IC Design house, foundry, IDM, testing company, assembly and packaging company, etc., to simplify work for engineers in different departments (such as: technology research and development, quality and reliability assurance, failure analysis, manufacturing, etc.). POMME can help turn all the measurement and analysis under numerous test results into a simple job, speeding up product throughput throughout semiconductor production.
POMME is the solution partner of Keysight Technologies, a world-renowned instrument manufacturer. With more than 16 years of long-term cooperation experience, POMME has developed into the only and most reliable partner of customers among semiconductor industry test integration system providers, with the most professional The technical team, adhering to the spirit of quality first and customer satisfaction, grows together with customers and employees.
Since its establishment, it has served the semiconductor industry chain in the Asia-Pacific region, with more than 300 customers. We use experienced teamwork to run your test work quickly and efficiently. We can provide test solutions that meet your unique needs every time.
POMME possesses the leading wide-bandgap semiconductor automotive electronic components and module test solution technology, and has officially become the exclusive distributor of ipTEST LTD. in the UK. It can provide a full range of measurement solutions more efficiently to meet your needs.
main products:
Power semiconductor wafers, chips, components, and power module testing solutions.
Electrical test probe station (manual/semi-automatic/automatic), all electrical test probe station standard/custom configuration.
Electrical test system upgrade/maintenance/calibration/expansion (HP/Agilent/HP/Keysight/Keithley).
OST (Entrusted Measurement)/WBG/Low Current/Reliability/Burn-In.
MEMBER
With the persistence of advanced technology, strict quality control, and high effective production, PSMC continues to promote international cooperation, bring cutting-edge technology, develop independent technology, and expand the market steadily. It is committed to providing professional foundry service to create a win-win situation with customers by accumulating competitive advantages in the fast-changing high-tech industry and becoming a steadily profitable world-class semiconductor company.
Telephone:886-3-5795000
Fax:886-3-5788565
PSMC owns two 8-inch and three 12-inch wafer fabs with 6,900 employees. The company’s aims are the three main foundry services of advanced memories: customized logic, integrated circuits, and discrete components. The purpose is to continue the Open Foundry operation model. From chip design and manufacturing service to equipment and production capacity sharing, the company establishes a close and flexible cooperation with customers according to their different attributes and demands.
MEMBER
PulseForge, Inc. develops and manufactures state-of-the-art flashlamp-based tools that deliver energy in a precise and targeted manner to enable innovation in industrial manufacturing. Our expertise and tools empower our customers to explore novel materials and manufacturing methodologies, driving dynamic and efficient production at an industrial scale.
MEMBER
Qdos is a Malaysian Company having vast experience on manufacturing high-technology IC substrates using SAP process & ABF materials for global customers. Our journey started in 1993 at Kulim in Malaysia and now we have sales representation in all parts of the world.
We have multiple factories certified to highest quality standards, each having unique capabilities and experienced leadership team. The company has the strategic objectives of building various technology substrates in Malaysia to help local customers with localisation and geographical diversity. We offer innovative solutions to customers for various interconnect designs. We have a full-service model for providing the customers a complete solution from concept design, substrate manufacturing until customised assembly of the interconnect.
1. Molded Interconnect Substrate (MISLGA/QFN): Coreless thin substrates using SAP process for circuit formation and EMC/ABF/PI for insulation layer having embedded traces (high reliability) with solid copper any shape via (excellent thermal conductivity). Achieves package miniaturization by 70% wrt Lead Frame, thinner package profile wrt Organic Substrates. Excellent for mid IO count having thermal requirements, high isolation, embedded antennae applications.
2. Flexible PCB (FPC/MISTAB): Multiple material & layer stack combination available (unit, panel, reel delivery). Fine line capabilities (20/20) for COF applications (1ML/2ML) on sputtered base materials with precise sprocket tape formation by chemical PI etching. Circuit formation by subtractive & mSAP, multiple surface finishes & SMT assembly available in house.
3. FCBGA Substrate: Latest product for High IO count server applications, with SOP, Coining and ENEPIG finish. Various ABF grades qualified (GX92, GZ41, GL102) with filled copper micro via (stacked) for layer interconnects.
MEMBER
We’re all about making hardware development faster, more efficient, and more sustainable.
As such, our team consists of people passionate about using technology to make an impact
We write top-notch code, state-of-the-art algorithms, conduct world-leading research, drink first-rate coffee and come up with awe-inspiring hyphenations.
MEMBER
Founded in 1993, RENA Technologies has established itself as a global leader in mechanical and process engineering, for a diverse range of industries. We specialize in providing cutting-edge wet processing solutions for the semiconductor, solar, additive manufacturing, glass, and medtech industries.
A key area of expertise is wet chemical immersion, spray and single wafer processes for the manufacturing of semiconductors. With over 1,100 systems installed worldwide, our technology is trusted by manufacturers around the globe to enhance efficiency and production quality.
RENA Technologies employs approximately 1,000 professionals worldwide, all dedicated to innovation and excellence in our field. Headquartered in Gütenbach, Germany, and manufacturing sites in Albany, OR and Wykroty, Poland, we continue to drive advancements in process engineering, ensuring our customers receive the highest quality solutions for their manufacturing needs.
On top we provide worldwide onsite service support with over 150 Experts in 20 locations globally to ensure flawless installation and operation of our tools.
RENA products are used in path-breaking application fields such as semiconductors, MedTech, renewable energies, the glass industry and additive manufacturing. RENA equipment is used to treat or modify surfaces of, for example, semiconductor wafers, solar cells, glass, optical substrates, 3D-printed metal components or other high-tech products using wet chemical processes like etching, stripping, cleaning or drying. RENA offers proven standard machines as well as customer-specific solutions and process support.
MEMBER
Renesas Electronics empowers a safer, smarter and more sustainable future where technology helps make our lives easier.
A leading global provider of microcontrollers, Renesas combines our expertise in embedded processing, analog, power and connectivity to deliver complete semiconductor solutions. These Winning Combinations accelerate time to market for automotive, industrial, infrastructure and IoT applications, enabling billions of connected, intelligent devices that enhance the way people work and live.
MEMBER
Resonac defines its purpose as “Change society through the power of chemistry.” Resonac aims to be a world-class functional chemical manufacturer, creating functions necessary for the times, supporting technological innovation, and contributing to the sustainable development of our customers. Resonac is Global Leading semiconductor materials supplier. In order to achieve technological innovation for solving various social issues, it is essential for us to make wide-ranging co-creative efforts with partners, and Resonac is open to collaboration including 1on1 co-development with any partner.
We have opened a Packaging Solution Center and are actively engaged in next-generation semiconductor co-creation activities through JOINT2 with many partner companies. Furthermore, starting this year, we will also seek co-creation opportunities in the United States by launching US-JOINT.
MEMBER
ROHM Semiconductor is a global company of 507.9 billion yen per March 31st. 2023, with over 23,700 employees. The company develops and manufactures a very large product range from SiC Diodes and MOSFETs, Analog ICs such as Gate Drivers and Power Management ICs to Power Transistors and Diodes to Passive Components. The production of our high performing products is taking place in state-of-the-art manufacturing plants in Japan, Germany, Korea, Malaysia, Thailand, the Philippines, and China. ROHM Semiconductor Europe has its Head Office near Dusseldorf serving the EMEA region (Europe, Middle East, and Africa).
For further information, please contact www.rohm.com
MEMBER
Rotarex is a global company that designs and manufactures premium quality gas control products and systems. Founded in Luxembourg 100 years ago, the company has grown to be a world-leading producer of cylinder valves, pressure regulators, equipment and fittings that deliver superior gas safety, control and productivity. Thanks to top-tier European engineering and technical excellence developed over decades, Rotarex is a valued partner of many of the world’s most demanding gas companies and gas system designers and installers.
Rotarex creates applied innovations through its rapid response R&D infrastructure and collaboration with university partners that solve real customer challenges and invent new ways of working. Driven by this culture of innovation, Rotarex holds over 100 patents and has developed many breakthrough products that are now industry standards.
With 15 logistics centers, 30 service centers and presence in 65 countries, Rotarex provides responsive service to customers around the world.
PREMIUM GAS SYSTEMS FOR ULTRA HIGH PURITY GASES
– Ultra High Purity Pressure Regulators
– Ultra High Purity Line Valves
– Ultra High Purity Fittings
– Ultra High Purity Cylinder Connectors
– and much more…
UHP line valves:
– Springless and threadless design for Minimized particle generation
– Maximum flow capacity thanks to a minimum dead space design
– Working pressure up to 240 bar
– Supported temperatures from -20 to 150 Celsius degrees
– Assembling, testing & packaging in cleanroom: Class ISO 4
– Excellent resistance to corrosion with electropolished surface roughness as per SEMI F19 UHP Grade
– 100% Helium leak tested
UHP line pressure regulators
– High level of outlet pressure stability
– Flow rates range Expanded to meet all applications
– Pressure handling up to 240 bar
– Supported temperatures from -20 to 65 Celsius degrees
MEMBER
Samsung Electronics Co., Ltd. engages in the manufacturing and selling of electronics and computer peripherals. The company operates through following business divisions: Consumer Electronics, Information Technology & Mobile Communications and Device Solutions. The Consumer Electronics business division provides cable television, monitor, printer, air-conditioners, refrigerators, washing machines and medical devices. The Information Technology & Mobile Communications business division offers handheld products, communication systems, computers and digital cameras. The Device Solutions business division comprises of memory, system large scale integrated circuit and foundry. The company was founded on January 13, 1969 and is headquartered in Suwon, South Korea.
MEMBER
Sanan Semiconductor is a wholly-owned subsidiary of the listed company Sanan Optoelectronics. Sanan is committed to becoming a world-class R & D, manufacturing and service platform for wide bandgap semiconductors. Sanan Semiconductor extended Sanan Optoelectronics’ 20-year compound semiconductor industrialization experience to the field of power electronics, and became a full-chain integration platform focusing on the wide bandgap semiconductor industry and providing the most comprehensive products and services.
Sanan Semiconductor’s silicon carbide (SiC) power products include automotive and industrial SiC Schottky barrier diodes (SBD) and SiC MOSFETs which provide key components with higher power density and higher energy conversion efficiency for the electric vehicles and renewable energy markets. These can then be applied to high-reliability applications such as electric vehicle drivetrains, charging stations, and solar photovoltaic inverters. Sanan Semiconductor is a member of the JEDEC JC-70 Wide Band Gap Semiconductor Standards Committee, which collaborated with the industry to provide process technologies and products with improved reliability and quality. The company also has a portfolio of AEC-Q101 certified products.
MEMBER
Sandisk, a global Flash and advanced memory technology innovator, understands how people and businesses consume data and relentlessly innovates to deliver solutions that enable today’s needs and tomorrow’s next big ideas. With a rich history of groundbreaking innovations in memory and storage semiconductors, we keep people and businesses moving forward with inspired innovations to actualize their potential.
As Sandisk embarks on its journey with its new corporate branding, the company continues to keep aspirations moving and pushing possibility forward, empowering people and businesses with data everywhere. We do this through the balance of our powerhouse manufacturing capabilities and our industry-leading portfolio of products that are recognized for innovation, performance and quality.
Sandisk is on a journey to be an industry leader in sustainability, building from a strong foundation. Our commitment to environmental, social and responsible business has always been an integral part of Sandisk’s DNA. These focus areas guide the company to make meaningful decisions that benefit the business and have a positive impact on the environment, society, and key stakeholders.
Sandisk operates in several key sectors: Cloud & Data Center, Client Compute, Consumer & Gaming as well as Automotive, Mobile & IoT. These industries are central to the company’s broad portfolio, which includes storage solutions ranging from high-performance memory cards to enterprise-level data management, serving cloud providers to enterprises to consumers.
MEMBER
The SCHMID Group is a world-leading global solutions provider for the high-tech electronic, photovoltaics, glass, and energy systems industries, with its parent company Gebr. SCHMID GmbH is based in Freudenstadt, Germany. Founded in 1864, today it employs more than 800 staff members worldwide, and has technology centers and manufacturing sites in multiple locations including Germany and China, in addition to several sales and service locations globally. The Group focuses on developing customized equipment and process solutions for multiple industries including electronics, renewables, and energy storage. Our system and process solutions for the manufacture of substrates, printed circuit boards and other electrical components ensure highest level of technology, high yields with low production costs, high efficiency, quality, and sustainability in green production processes.
MEMBER
Schneider Electric is the global industrial technology leader, driving sustainable impact.
We are a powerhouse of electrification, automation, and digitization. The unique combination of our electrical and automation technologies intersect with our leadership in software, services and sustainability to rapidly accelerate sustainable impact.
Schneider’s purpose is to create Impact by empowering all to make the most of our energy and resources, bridging progress and sustainability for all. At Schneider we call this Life Is On.
Our mission is to be the trusted partner in Sustainability and Efficiency.
Energy management, Industrial Automation, Sustainability and Consulting Services, Industrial Software.
MEMBER
Scientech Corporation was established in Taipei, Taiwan in 1979.
What we do: Industries we serve: Semiconductor (front-end, back-end and GaAs), Flat Panel Display, LED, Data Storage, Scientific Instruments and high-tech related industries.
Being a leading semiconductor equipment and wafer reclaim supplier in Taiwan, Scientech Corporation has launched the development of wet process equipment in 2003. Scientech has successively supported customers in LED, Mini/Micro LED, compound semi and power components such as IGBT, SiC and GaN industries, as well as advanced packaging process such as Bumping, Fan-out, Chip-On-Wafer and so on. Our wet process equipment has been successfully verified in the latest Chiplet’s 2.5D/3D packaging process technology and smoothly introduced into mass production.
MEMBER
Scrona is an innovative company that is revolutionizing the world of electrostatic multi-nozzle printing technology. Our MEMS-based printing engine enables ultra-high-resolution printing on a scalable platform. Here are some highlights:
Scrona has the potential to redefine semiconductor and display production and shape the future of microfabrication. Our MEMS chips are the heart of our technology, offering sub-micrometer-precise printing on nearly any material.
MEMBER
Established in 1947, Sekisui Chemical Co., Ltd. is one of Japan’s leading integrated chemical manufacturers. Sekisui operates a residential business known as the Sekisui Heim brand, an environmental lifeline business that handles piping materials for factories and social infrastructure, a high-performance plastics business that provides chemical products in the mobility, electronics and industrial fields, and a life sciences business that provides testing and drug development support. In the electronics industry, we provides various products such as tapes, films, adhesives, fine particles, and binder resins for a wide range of applications such as displays, electronic components, and semiconductors.
Major products for the semiconductor field include “SELFA” temporary fixed tapes with excellent heat and chemical resistance, FCBGA build-up films with excellent low transmission loss property, heat dissipation sheets that combine proprietary magnetic field alignment technology and resin design technology, and conductive micro-particles with the highest particle size accuracy in the industry.
MEMBER
“SIMPLE PERFECTION”
At the core of our values is “Simple Perfection.” Since our founding in 2000, we have adhered to the belief that no task is too difficult or complex. Our mission is to turn every challenge into a simple, flawless solution. This unwavering commitment drives us to continually evaluate and improve every aspect of our work. It encourages our team to think innovatively, aim higher, and dream of a future full of boundless opportunities.
“THE FIRST”
We are firm believers that true innovation comes from leading the way, not following in others’ footsteps. To make a meaningful impact on the future, we must be at the forefront. Our advanced technologies are crafted to deliver unmatched value to both our customers and our company. This vision goes beyond a simple goal—it is a profound mission we hold close to our hearts. We are fully committed to this journey and inspire every member of our team to contribute to realizing this extraordinary vision.
We have been dedicated to providing innovative wafer prober technologies for over 20 years, continuously expanding our product offerings to cover all areas of wafer testing, including BURN-IN, CP, and TESTER.
Our flagship products include OPERA, a multi-prober solution, and OSTINATO, a multi-wafer burn-in system, both designed to meet the highest industry standards and offer unparalleled performance for all your wafer testing needs.
MEMBER
Semikron Danfoss is a global technology leader in power electronics. Our product offerings include semiconductor devices, power modules, stacks and systems.
In a world that is going electric, Semikron Danfoss technologies are more relevant than ever. With our innovative solutions for automotive, industrial and renewable applications we help the world utilize energy more efficiently and sustainably and thus to significantly reduce overall CO2 emissions – facing one of the biggest challenges today.
We take care of our employees and create value for our customers by investing significantly in innovation, technology, capacity, and service to deliver best-in-industry performance and for a sustainable future.
MEMBER
With pioneering intellectual property and global services, SHELLBACK Semiconductor Technology provides best-in-class equipment solutions, upgrades, and support to enable key markets such as Power Devices, Photonics & LED, MEMS & Sensors, Wireless & Analog, Memory/Storage, and Advanced Packaging. SHELLBACK unites industry-leading semiconductor brands SEMITOOL, FSI MERCURY/MERCURY+ & RITE TRACK, with a combined product portfolio addressing Wet Processing & Coat Develop with proven solutions.
Our best-in-class technology includes solutions to:
We provide systems, upgrades, parts and technical support for the following systems.
* denotes product line owned by SHELLBACK
Wet Clean . Etch . Strip
Carrier Cleaning
Coat / Develop
MEMBER
Shenzhen Naso Tech Co., Ltd. was established in 2018 and is primarily engaged in the research, development, production, and sales of high-end equipment, such as thin film deposition systems, for advanced materials fields including third-generation semiconductor silicon carbide, new photovoltaic materials, and nanomaterials. The company’s vision is to “become a global leader in manufacturing equipment for advanced materials” and it consistently adheres to independent innovation, focusing on continuous optimization and improvement in process indicators, consumable costs, and maintenance efficiency.
The company’s core team is composed of senior experts in the materials equipment field, and its self-developed third-generation semiconductor silicon carbide epitaxy equipment has been recognized on the “Science and Technology Innovation China” leading technology list. In 2020, the company was recognized as a “National High-tech Enterprise” with high growth potential. In 2023, it was awarded the “Specialized, Refined, Unique, and Innovative” SME certification by the city of Shenzhen. The company is also certified with ISO 9001 quality management system and after-sales service system.
深圳市纳设智能装备股份有限公司成立于2018年,主要从事第三代半导体碳化硅、新型光伏材料、纳米材料等先进材料领域所需的薄膜沉积设备等高端设备的研发、生产和销售。公司以“成为全球先进材料制造设备引领者”为愿景,始终坚持自主创新,专注于工艺指标、耗材成本、维护效率等方面的持续优化改进。
公司核心团队由材料设备领域资深专家组成,自有第三代半导体碳化硅外延设备研发技术荣登“科创中国”先导技术榜单。公司于2020年被评定为具有高成长性的“国家高新技术企业”,2023年荣获深圳市“专精特新”中小企业认定,也认证有ISO9001质量管理体系及售后服务体系。
MEMBER
Siconnex is one of the leading suppliers of wet chemistry equipment for etch, clean, and resist strip applications. The company’s main headquarters and production facilities are located in Austria, with additional sites in America, Japan, Malaysia, Singapore, and France. Overall, Siconnex operates in eight locations worldwide.
With over 20 years of experience, Siconnex has fully dedicated its efforts to perfecting batch spray technology—the core technology known as BATCHSPRAY®. This technology exemplifies our commitment to reducing environmental impact while maintaining high performance and efficiency.
We believe that sustainability and technological progress go hand in hand, and we combine them in our systems. As a hidden champion, we perform essential work for technology-leading companies and have a significant impact on microchip production worldwide.
Our systems feature a reduced footprint and are therefore chosen by our customers as a space-saving alternative. To ensure the highest possible safety for the operator, our systems are completely closed to avoid direct contact with chemicals. The combination of several processes and the optimized wafer flows is another major benefit.
Environmental protection through sustainable processes
Due to high savings in water and chemicals, our products are cost-efficient and environment friendly. Two of our greatest process advantages are shown by the following comparative examples.
METAL ETCH PROCESS
Three conventional dry etch systems can be replaced by our Acid Autoload system.
CLEAN PROCESS
A conventional cleaning system can be replaced by our Clean Autoload system.
MEMBER
Silex is the world’s largest pure-play MEMS foundry. The world’s most innovative companies reach their markets sooner with Silex. MEMS development requires deep expertise as a new device goes from concept to production. The industry’s most extensive MEMS manufacturing capabilities and our collaborative team of experts will bring your breakthrough product to market in the shortest possible time.
MEMBER
Silicon Austria Labs (SAL) has been founded to be a top European research center for electronic-based systems. In the network of science and economy, we carry out research at a global level and create the basis of groundbreaking products and processes.
MEMBER
SilTerra, a pure-play wafer foundry, offers various CMOS technologies from 180nm to 110nm nodes. It serves a wide range of end-market applications, including IoT, power management, consumer electronics, medical and communication products. Besides CMOS technologies, SilTerra also provides MEMS foundry services, unique and patented MEMS-on-CMOS technologies, silicon photonics, bio-photonics, and power. Its excellent customer service team helps customers realize working prototypes from proof of concept to high volume manufacturing.
MEMBER
An AI First Mover Leading the Global AI Memory Era
With our global technology leadership, SK hynix aims to provide greater value to all stakeholders, including our customers, partner companies, investors, local communities, and employees.
Moreover, we are working to strengthen our ESG management to create even more value, by moving away from the conventional business model of seeking only economic benefits, in pursuit of more social value and a healthier governance structure.
SK hynix will grow into a Full Stack AI Memory Provider, offering customized solutions tailored to the diverse needs of global customers, covering both DRAM and NAND flash, in the era of full-scale AI.
MEMBER
At SK siltron css, we provide the global compound semiconductor industry with a reliable source of leading-edge, production-proven, high-crystal-quality silicon carbide (SiC) wafers and epitaxy services.
Our SiC technology and manufacturing expertise extends from crystal growth through wafer fabrication and epitaxy. We focus our R&D efforts on finding environmentally friendly solutions using renewable energy and sustainable manufacturing practices. Our latest-generation, wide-bandgap semiconductor materials are designed to help customers meet global demands for improved environmental sustainability, increased electricity demand and higher energy efficiency.
Drawing on decades of experience providing SiC materials and products, we continue to grow and double our capacity year over year. As a dedicated materials supplier, we focus on providing wafers and materials for critical compound semiconductor applications. We do not make devices or compete with our customers. We are backed by the resources and purchasing power of SK siltron.
MEMBER
Skyworks Solutions, Inc. is empowering the wireless networking revolution. Our highly innovative analog semiconductors are connecting people, places and things spanning a number of new and previously unimagined applications within the automotive, broadband, cellular infrastructure, connected home, industrial, medical, military, smartphone, tablet and wearable markets.
Skyworks is a global company with engineering, marketing, operations, sales and support facilities located throughout Asia, Europe and North America.
Skyworks products are used in aerospace, automotive, broadband, cellular infrastructure, connected home, defense, entertainment and gaming, industrial, medical, military, smartphone, tablet, and wearable markets.
MEMBER
Soitec is a world leader in the production of innovative semiconductor materials. The company leverages its unique technologies to serve the electronics markets.
In meeting the technical and economic challenges of mainstream electronics, Soitec is helping to speed up the mobile and digital revolutions. Its products are used to manufacture chips that go into smartphones, tablets, computers, IT servers and data centers as well as electronic components in cars, connected devices, and industrial and medical equipment.
With more than 4,000 patents, the company pursues a strategy of disruptive innovation to provide its customers with products that combine performance, energy efficiency and competitiveness.
Soitec is headquartered in Bernin France. The company was founded 30 years ago in Grenoble’s high-tech ecosystem and has manufacturing facilities, R&D centers and sales offices in Europe, the United States and Asia. Soitec is listed on the CAC NEXT 20, in Paris.
For more information visit: www.soitec.com.
MEMBER
Established in 1976, SPEA is a world leading company in the field of automatic test equipment for ICs, MEMS, sensors, electronic boards. SPEA serves the big semiconductor IDMs and OSATs with the most cost-effective and high-performance equipment to test automotive, SoCs, analog mixed-signal devices, MEMS sensors and actuators, power and discretes, identification devices, delivering highest measurement capabilities, lowest cost of test and fastest time-to-market. SPEA systems are designed to detect any possible defect in electronic products, so that they won’t fail on the field. High throughput, best detection capability, test techniques designed on the latest technologies requirements, complete configurability. For SPEA customers, testing is not an additional cost, but a tangible competitive advantage.
MEMBER
SPEKTRA Schwingungstechnik und Akustik GmbH Dresden, Germany was founded in 1994 and has established itself as a renowned manufacturer of components and systems for the testing and calibration of sensors. The products of the family business are used worldwide for the reliable measurement of dynamic physical quantities such as vibration, acceleration, sound pressure or alternating charge.
As from 2008, SPEKTRA also includes the APS trademark under which the company manufactures and sells long-stroke vibration exciters (shakers) and runs a marketing office in California, USA under the name of APS Dynamics, Inc. Through this merger, forces based on decades of experience could be bundled to develop a new generation of even more powerful vibration control systems.
Furthermore the company‘s calibration laboratory is accredited by DAkkS and offers a wide range of calibration services. And all this at a level of measurement uncertainty that can normally only be guaranteed by topmost national metrology laboratories.
SPEKTRA is often pioneer when it comes to the development, manufacturing or distribution of measuring and testing systems as well as calibration equipment. The use of such technology to provide special services and equipment for metrological and industrial applications enabled the company to reach an international top position in a growing market.
SPEKTRA LABS is your brand for excellence. With an international network of laboratories in Europe and the USA, we offer a wide range of testing and calibration services for dynamic measurement parameters – including vibration, shock, sound level as well as tilt and rotation rate.
Our accredited laboratories combine state-of-the-art technology with certified processes: So that you can rely on correct measuring results and smooth processes at all times.
MEMS-Testing at different levels (Lab and Fab):
From individual laboratory-scale sensor technology to highfrequency mass production. TESTelligence for MEMS sensors. Discover our S-TEST systems.
S-TEST Lab:
The S-TEST Lab systems offer a wide range of sensor system tests for development and laboratory environments. Its flexible system components allow users to quickly respond to different test requirements and sensor types. From parametric tests, functional tests, measurement comparisons, to characterization, our system solutions provide sensor developers with comprehensive capabilities for early detection of design flaws.
S-TEST Fab – optimized functions for efficient mass testing
The S-TEST 16 Fab systems are designed for use in the mass production of sensors. Their optimized design allows operation in temperature controlled cabinets. Variable throughput rates and test coverage can be achieved from small test series to highly parallel testing of several hundred sensors.
Calibration system CS Q-LEAP:
Sensor calibration is our core competence. Calibration laboratory SPEKTRA
The product group “Calibration Solutions” includes calibration systems as well as services. The SPEKTRA family of CS18 and the newest CS Q-LEAPTM calibration systems offers the calibration for the following measurands:
Acceleration (0.05 Hz … 350 kHz)
Shock (few mm/s² … 2,000 km/s²)
Acoustics (0.1 Hz … 20 kHz, until 124 dB)
Dynamic Force (10 Hz … 1 kHz, few mN … 20 kN)
Dynamic Pressure (up to 4,000 bar)
Mobile calibration system CV-10:
The CV-10 provides all the benefits of a mobile system. With the proven user-friendly interface of SPEKTRA.
Discover how smart, easy and flexible the on-site test and calibration of accelerometers, proximity probes and velocity sensors can be.
MEMBER
MEMBER
STATS ChipPAC is the world’s leading semiconductor back-end manufacturing and technology services provider, offering a full range of turnkey services that include semiconductor package integration design and characterization, R&D, wafer probe, wafer bumping, package assembly, final test and drop shipment to vendors around the world.
Our comprehensive portfolio covers a wide spectrum of semiconductor applications such as mobile, communication, compute, consumer, automotive, and industrial, through advanced wafer-level packaging, 2.5D/3D, System-in-Package, and reliable flip chip and wire bonding technologies. STATS ChipPAC has R&D centers and manufacturing powerhouses in Singapore and Korea, and business operations around the world, providing close technology collaboration and efficient supply-chain manufacturing to our global customers.
MEMBER
At ST, we are more than 50,000 creators and makers of semiconductor technologies mastering the semiconductor supply chain with state-of-the-art manufacturing facilities. An integrated device manufacturer, we work with more than 200,000 customers and thousands of partners to design and build products, solutions, and ecosystems that address their challenges and opportunities, and the need to support a more sustainable world. Our technologies enable smarter mobility, more efficient power and energy management, and the wide-scale deployment of cloud-connected autonomous things. We are committed to achieving our goal to become carbon neutral on scope 1 and 2 and partially scope 3 by 2027. Further information can be found at www.st.com.
MEMBER
SUMCO is a leading silicon wafer supplier to the semiconductor industry.
SUMCO mission: It is the mission of the SUMCO Group to be the world’s No. 1 silicon wafer supplier by exceeding the expectation of our customers and stockholders, by recognizing the value of our employees and by being good neighbors in communities
Other detailed information you can find on the website: https://www.sumcosi.com/english/
MEMBER
We are Siliconphotonics advanced package and testing solution provider. Suruga Seiki is a Japanese company founded in 1964. Taiwan is the APAC operation center with design and CPO system assembly functions.
We are focusing on Siliconphotonics, Photonics wafer restring, PIC functional test and Active alignment, passive alignment, edge coupling and grating coupling by our key components, module, and tester system.
We can also provide camera module and lens decenter alignment inspection with our laser collimator and high precision stage.
Our website:
[About Siliconphotonics, Advanced Testing&Package functions for PIC]
[About Company Profile]
SURUGA SEIKI provides cutting-edge technology such as high precision positioning motor stage, excellent optical alignment algorithm and laser measurement technique. Experienced domestic services on photonics wafer testing, PIC coupling, assembly by active/passive alignment.
MEMBER
SUSS is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. In close cooperation with research institutes and industry partners SUSS contributes to the advancement of next-generation technologies such as 3D Integration and nanoimprint lithography as well as key processes for MEMS and LED manufacturing. With a global infrastructure for applications and service SUSS supports more than 8,000 installed systems worldwide. SUSS is headquartered in Garching near Munich, Germany. For more information, please visit suss.com.
The SUSS portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing, complemented by micro-optical components. After sales, the company supports the entire life cycle of the tools: its range of services begins with the installation and startup of the systems including user training. Once systems are integrated in the customer’s environment, SUSS provides consistent support. Since long life cycles are very common for the company’s equipment, preventive maintenance programs are available, as well as reliable spare parts systems, warranty extensions and system upgrades. SUSS maintains service locations and local support teams in all areas of the globe to provide quick help.
MEMBER
High-Speed Patterned Metallization for Advanced Packaging. Syenta introduces Localized Electrochemical Modelling (LEM) direct patterning & deposition in a single process. Small features patterned at high-throughput with very high coplanarity.
MEMBER
With over twenty-five years of semiconductor equipment experience, Teikoku Taping System (TTS) applies its core technologies of Haru (taping, bonding), Hagasu (de-taping, de-bonding), and Hakobu (handling, transportation) to the latest process challenges.
In addition to legacy processes like back-grind tape lamination and mounting wafers to dicing tape and frame, TTS enables some of the latest Dry Film Resist applications, and specialty tapes for Temporary Bonding, Sheet Molding, and others.
TTS is now working with PulseForge to integrate their photonics de-bonding with surface cleaning, tape removal, and wafer mounting to improve overall yield and process costs for backside processing of power devices.
MEMBER
Teledyne MEMS is an industry-leading pure-play MEMS foundry, specializing in manufacturing MEMS and microfabrication solutions, from initial prototypes to high-volume production, across two Canadian sites.
Our commitment lies in maintaining stringent quality systems, delivering exceptional service, and safeguarding customer intellectual property. We take pride in our track record of transitioning customer designs to mass production with efficiency and precision. Partnering with us means gaining access to extensive technical expertise and advanced fabrication capabilities, ensuring that we add value to your projects through our experience.
With decades of experience, we produce devices for diverse markets including micro-mirrors for telecommunications, gyro sensors for game controllers, microfluidic devices for miniaturized medical systems, and inertial sensors for automobiles. Our innovative MEMS enhance performance while reducing size and power consumption.
MEMBER
Teradyne (NASDAQ:TER) brings high-quality innovations such as smart devices, life-saving medical equipment and data storage systems to market, faster. Its advanced test solutions for semiconductors, electronic systems, wireless devices and more ensure that products perform as they were designed. They solve complex test and automation challenges and enable businesses to achieve higher production volumes, higher quality and higher ROI. Its Industrial Automation offerings include collaborative and mobile robots that help manufacturers of all sizes improve productivity and lower costs. In 2021, Teradyne had revenue of $3.7 billion and today employs over 6,500 people worldwide. For more information, visit teradyne.com.
Corporate Headquarters
+1 978-370-2700
Contact Us
www.teradyne.com
Teradyne delivers manufacturing automation across industries, applications and the world. Teradyne solves complex test and automation challenges and enables businesses to achieve higher production volumes, higher quality and higher ROI. Teradyne designs, develops, and manufactures automatic test equipment used to test semiconductors, wireless products, data storage and complex electronics systems in a number of industries, including consumer electronics, wireless, automotive, industrial, computing, communications, and aerospace and defense. The industrial automation portfolio includes collaborative robotic arms, autonomous mobile robots (“AMRs”) and advanced robotic control software used by global manufacturing, logistics and industrial customers to improve quality, increase manufacturing and material handling efficiency, and decrease manufacturing and logistics costs. The automatic test equipment products include:
MEMBER
As a leading global company of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. Building on the technological expertise and know-how that we have been cultivating since our inception over 50 years ago, we strive to contribute to the development of a dream-inspiring society. All of TEL’s semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments. TEL provides outstanding products and services to customers through a global network in the U.S., Europe, and Asia.
Website: https://www.tel.com/
Phone: +1-512-424-100
Additional Contact Information: https://www.tel.com/contactus/
MEMBER
TPD is the premier staffing and recruiting partner specializing in the semiconductor industry. With over four decades of experience, we excel in delivering tailored workforce solutions and contract staffing services. Our proven track record stems from our unique ability to uncover exceptional talent that others overlook, making us a pivotal asset in semiconductor recruitment. TPD is renowned as the industry’s secret weapon, consistently enabling our clients to surpass their hiring goals and achieve operational excellence. Whether you’re seeking to augment your team with top-tier professionals or revolutionize your approach to talent acquisition, TPD is your trusted ally. Partner with us to gain access to unparalleled industry expertise and a network of highly skilled candidates, ensuring your success in the dynamic world of semiconductors.
TPD is your comprehensive workforce solutions expert specializing in the semiconductor industry. We offer a full spectrum of services including Contract Staffing, Contract to Hire, EOR Payrolling, and Permanent Recruitment. With over 40 years of industry leadership, we pride ourselves on delivering tailored solutions that meet the unique needs of our clients. Our commitment to creativity extends beyond service to encompass flexible pricing options, ensuring exceptional value in every partnership. Trusted by top industry leaders TPD is renowned for our ability to provide innovative workforce solutions that drive success.
MEMBER
通快霍廷格电子有限公司成立于1922年,总部设于德国弗莱堡,是世界领先的等离子体电源制造商,产品主要用于:半导体,显示器和太阳能领域,以及光学镀膜,装饰镀膜,工业镀膜,大面积玻璃镀膜等行业的等离子体应用,于1990年正式加入通快集团。通快霍廷格电子致力于开发、制造用于各种沉积和干法刻蚀工艺的等离子电源,在电源领域拥有一百多年的经验积累,在欧洲、美洲和亚洲分别设有销售和服务子公司和分支机构。
2006年,通快霍廷格电子中国成立,至今已经深耕中国市场19年。如今,通快霍廷格在中国设有亚太区应用中心、维修中心、电源及匹配器生产中心,为客户提供所有生产过程中的优质服务。2023年,通快霍廷格中国新工厂在太仓建成开业,这是通快霍廷格继德国、波兰之后布局的全球第三家生产制造基地。
TRUMPF Huettinger is a high-tech company and a leading global manufacturer of DC, medium-frequency, high-frequency and semiconductor-based solid-state microwave generators.
The business units include plasma technology, industrial heating, battery inverter systems as well as microwave generators and amplifiers. These process power supplies are being used in many key processes in research, development and production. As a part of TRUMPF – technology leader of industrial lasers and machine tools – TRUMPF Electronics is headquartered in Freiburg/Germany and has sales and service branches in Europe, US and Asia providing a global IoT based service support.
Product introduction:
1. TruPlasma DC 4000 (G2) Series
TruPlasma DC 4000 (G2) 系列专为金属,金属氧化物,绝缘材料的反应直流溅射而开发。电源以直流脉冲的形式输出,在高要求的膜层质量和大产能的PVD 和PECVD 工艺中证明了它的优势。
The TruPlasma DC Series 4000 (G2) combines the excellent arc handling of TRUMPF Hüttinger with the advantages of DC pulse technology. This means you gain improved coating results with fewer defects, along with a higher deposition rate. The results are brilliant surfaces and a high level of production power.
2. TruPlasma DC 3000 (G2) Series
TruPlasma DC 3000 (G2) 系列适合众多直流溅射工艺,得益于先进的电弧管理和集成水冷装置,该款直流电源同样可用作脉冲式直流电源的高性价比替代方案。此外,极其紧凑的结构使其方便集成至现有应用中。
The TruPlasma DC Series 3000 (G2) is suited to numerous DC sputtering processes. The DC generators also make an interesting cost-effective alternative to pulsed DC generators due to their progressive arc management and the integrated water cooling. The very compact design also enables simple integration into existing applications.
3. TruPlasma RF 1000/3000 (G2/13) Series
全新一代的射频电源TruPlasma RF 1000 / 3000 (G2/13.56M) 系列,对工艺过程中的所有参数进行实时监测,即使在要求严苛的等离子体工艺中,仍能确保可靠供电。其稳定的输出功率和高精度控制确保高效高生产效率的同时,实现最佳工艺效果。
The TruPlasma RF Series 1000 / 3000 (G2/13) are the latest generation of RF generators. Due to innovative functions such as the patented CombineLine coupling technology or real-time measurement of all process parameters, reliable power supply even in demanding plasma processes is guaranteed – the optimal conditions for reproducible results and high productivity.
4. TruPlasma LF Series
TruPlasma LF 1000 系列电源基于创新的平台概念, 频率范围有350k,400k,2M,功率范围1~10kw,适用于各类半导体,光伏,ALD,刻蚀等离子应用。
TruPlasma LF 1000 series are based on an innovative platform concept with frequency ranges of 350k, 400k, 2M and power ranges from 1 to 10kw for all types of semiconductors, photovoltaic, ALD, and etch plasma applications.
5. TruPlasma VHF 3000 series
TruPlasma VHF 3000 系列电源基于创新的平台概念, 频率覆盖27M;40M;60M。功率范围1~10kw。可满足极为苛刻的生产要求。VHF 电源的纯水冷模块化结构与极高的功率密度设计可以确保大功率输出下的稳定性。
TruPlasma VHF 3000 series power supply is based on an innovative platform concept covering frequencies of 27M, 40M, 60M, and power ranges of 1~10kw to meet the most demanding production requirements. the VHF power supply’s pure water-cooled modular construction and extremely high power density design ensure stability at high power outputs.
MEMBER
Trymax, your partner for plasma
Our core business is to support semiconductor manufacturers throughout the world with innovative plasma-based solutions for ashing, descum, surface preparation, isotropic etch, photoresist curing and charge erase that are used in the fabrication of integrated circuits.
Trymax Semiconductor Equipment designs, manufactures, and markets its own NEO equipment. A wide range of different NEO platforms are available, from single chamber semi-automatic tools, through to multi chamber high volume manufacturing platforms. Trymax offers a number of different plasma technology chambers which are configurable across all NEO platforms. This enables Trymax to offer an extremely wide range of different process capabilities to meet customer’s requirements.
Trymax is a privately held company. It has been on operation since 2003 and has its registered headquarters in Nijmegen, the Netherlands. We are ISO-9001 accredited and operate on a global basis, supporting our customers who operate across Europe, Asia and America.
MEMBER
ULVAC GmbH which was established in 1987 as the European subsidiary of ULVAC, Inc. headquartered in Munich, Germany. ULVAC’s solutions diversely incorporate equipment, materials, and services for Semiconductors, MEMS, Flat Panel Displays, Electronic Components, PCB, TFB, and other Vacuum Equipment for the European Markets.
ULVAC is the global leader for thin film PZT deposition and etch.
ULVAC GmbH
Klausnerring 4
85551 Kirchheim b. München
Germany
Fon: +49 – 89 – 96 09 09 – 0
Fax: +49 – 89 – 96 09 09 – 96
Email: ulvac@ulvac.de
http://www.ulvac.eu
For MEMS application ULVAC has developed systems dedicated to MEMS sensors, actuators, switches, lab-on-chip and micromirror manufacturing. This equipment range includes sputtering and etching for piezo electric materials like PZT, AlN and ScAlN, glass and metal etching, thick resist ashing, resist and polymer removal within trench structures, and others.
Piezoelectric materials can be used to further miniaturize a range of devices, including inertial sensors, tuneable RF devices, inkjet print heads, micromirrors, microphones, autofocus lenses and others. The integration of thin film deposition directly on CMOS-processed wafers is key for highly-integrated devices. ULVAC has developed high-volume processing sputtering method that allows sub 500°C processing temperatures, is configured to pole the piezoelectric crystals during the deposition process and is compatible with other CMOS processes.
MEMBER
UMC (NYSE: UMC, TWSE: 2303) is a leading global semiconductor foundry company. The company provides high quality IC fabrication services, focusing on logic and various specialty technologies to serve all major sectors of the electronics industry. UMC’s comprehensive IC processing technologies and manufacturing solutions include Logic/Mixed-Signal, embedded High-Voltage, embedded Non-Volatile-Memory, RFSOI and BCD etc. Most of UMC’s 12-in & 8-in fabs with its core R&D are located in Taiwan, with additional ones throughout Asia. UMC has total 12 fabs in production with combined capacity over 800,000 wafers per month (8-in equivalent), and all of them are certified with IATF 16949 automotive quality standard. UMC is headquartered in Hsinchu, Taiwan, plus local offices in United States, Europe, China, Japan, Korea & Singapore, with worldwide total 20,000 employees.
For more information, please visit: https://www.umc.com.
MEMBER
Umicore Metal Deposition Solutions is a leading developer and manufacturer of ECD processes (Electroplating business line) and PVD materials (Thin Film Products business line) with headquarters in Europe and branches in the United States, Taiwan, Japan, Thailand and China.
The company has recently expanded its presence in the semiconductor market by acquiring Shinhao Materials LLC and establishing Umicore Suzhou Semiconductor Materials Co., Ltd. (USSM). This long-planned, strategic move expands Umicore’s product offering for advanced packaging and demonstrates its commitment to assume a significant long-term role in the semiconductor industry.
With a strong focus on sustainability and continuous innovation, Umicore meets the highest quality requirements and increases the yield, performance, cost efficiency and reliability of semiconductor substrates.
With Umicore, you have a competent and global service partner at your side that gives your products a clear advantage – a perfect surface.
To meet the high demands of the modern semiconductor industry, Umicore Metal Deposition Solutions offers both high-quality electroplating and PVD solutions for the advanced packaging sector.
In the field of electroplating, the focus is on the development, production and distribution of ECD solutions based on copper, nickel, tin, indium and gold, copper (II) oxide and customised anodes and cathodes for ECD tools. The modular IntraCu® additive system, which is a breakthrough in semiconductor production, deserves special mention here. This patented system ensures high-quality, cost-effective and reliable copper plating for advanced packaging.
In the area of thin film products, Umicore successfully develops, manufactures and supplies high-end evaporation materials and sputtering targets of the highest quality in the advanced packaging, compound, microsystems and silicon front-end segments. To this end, Umicore maintains specialised sites in Liechtenstein and Taiwan.
Take advanced packaging to a completely new level with Umicore.
MEMBER
Founded in 1990, Unimicron is a world leading company of printed circuit board (PCB) and IC carrier (substrate) manufacturing. Major products include PCBs, high density interconnection (HDI) boards, flexible PCBs, rigid flex PCBs, and IC substrates. Product applications include AI, HPC data center infrastructure (server, networking), smartphones, PC/NB, optical modules, automotive and more. Unimicron’s global footprint encompass manufacturing sites and/or service centers in Taiwan, China, Germany, Japan and Thailand, delivering high value-added, high quality and high productivity innovation and services to our global customers.
Unimicron’s high-end substrate solutions, FCBGA and FCCSP, provide our valued customers the technologies crucial in meeting today’s fast-growing development of AI, HPC applications. Our customer-oriented service, high quality standards, and innovative breakthroughs have helped Unimicron achieve the honor of No.1 ranking among the global substrate suppliers by market share for the 9th consecutive year since Y2016.
MEMBER
USIC created the test grade silicon wafer business when it was founded in 2017. In 2024, USIC served 30+ customers and provided 36 products for various segments covering a variety of end markets including IC foundry, semiconductor equipment and OSAT segments.
USIC’s innovative refreshed technologies is a new breakthrough in silicon wafer industry. Refreshed Technology is a cost-effective choice for customers who prioritize efficiency and affordability in their operations.
USIC’s refreshed technology achieves a zero-carbon footprint manufacturing process aligned with ESG requisites. This starkly contrasts with traditional crystal growth practices that are energy intensive, making it exemplify an environmental consciousness vital in the current business landscape.
MEMBER
UTAC is a leading independent provider of assembly and test services for a broad range of semiconductor chips with diversified end-use applications including communication, consumer, computing, automotive, security, industrial and medical. Our customers include fabless companies, integrated device manufacturers and wafer foundries.
UTAC is headquartered in Singapore with more than 12 production facilities. Our global sales network is widely focused on five regions: the United States, Europe, China and Taiwan, Japan and the rest of Asia with sales offices located in each of these regions.
MEMBER
Established in 1992, Utechzone has been devoted to the research and development of AOI (Automatic Optic Inspection) machines for more than three decades. Utechzone not only launches a complete product line covering the PCB, Semi, COF, and LCD/LCM industries but also presents AI deep learning to further enhance precision and efficiency.
With the core competence of ”We serve with vision”, Utechzone has integrated optics, software, mechanisms, electricity control, and algorithms to assist various manufacturers in achieving high quality at a reasonable cost. Utechzone, the worldwide AOI leader, will be your best quality guardian.
Automatic Optic Inspection
MEMBER
Veeco (NASDAQ: VECO) is an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, metal organic chemical vapor deposition (MOCVD) and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in the markets we serve. To learn more about Veeco’s systems and service offerings, visit www.veeco.com.
MEMBER
VIA Technologies, Inc. is a global leader in connecting businesses to advanced AI, IoT, and computer vision technology with intelligent automotive, edge, industrial, and building solutions that transform operational safety and efficiency. Headquartered in Taipei, Taiwan, VIA has a global network that links the high-tech centers of the US, Asia, and Europe and spans a customer base that includes many of the world’s leading hi-tech, manufacturing, and transportation enterprises.
MEMBER
Vishay Intertechnology, Inc., a Fortune 1000 Company listed on the NYSE (VSH), is one of the world’s largest manufacturers of discrete semiconductors (diodes, rectifiers, MOSFETs, optoelectronics, and selected ICs) and passive electronic components (resistors, inductors, and capacitors). These components are used in virtually all types of electronic devices and equipment, in the industrial, computing, automotive, consumer, telecommunications, military, aerospace, power supplies, and medical markets. Vishay’s product innovations, successful acquisition strategy, and “one-stop shop” service have made it a global industry leader.
MEMBER
VisIC Technologies has a decade of experience in creating, developing, and advancing concepts based on cutting-edge Gallium Nitride-on-silicon technology. We develop solutions that help reduce energy waste in power conversion systems, with a focus on battery electric vehicles (BEV). Our patented D3GaN technology – Direct Drive D-mode GaN – addresses the automotive industry’s cost, supply, sustainability, reliability, quality, and performance needs.
With our D3GaN technology, BEV can save up to 50% on power losses over the driving cycle of the electric car, thus reducing battery cost and increasing driving range and performance. This solution also reduces the cooling system requirements and the size of the BEV inverter.
VisIC Technologies has produced the first GaN-based transistors used in automotive inverters. By utilizing the GaN on Silicon technology, we address the supply chain concern as we are using existing semiconductor high-volume production lines.
MEMBER
As group-wide „Powerhouse“ of Volkswagen, the group resort Technology with its business units is responsible for the core transformation-topics “battery”, “charging and energy”, and “components”. It shapes the development and production of strategic components for the car-manufacturing brands of the group and with its “platform business” also for OEMs outside the Volkswagen Group. As an independent company inside the group resort Technology, Volkswagen Group Components employs 70.000 employees in more than 60 factories worldwide. They provide a valuable contribution for the Volkswagen Group, its brands and products. Thomas Schmall is the board member for the group resort Technology and CEO of Volkswagen Group Components.
MEMBER
Watlow uniquely provide thermal solutions across the entire semiconductor fab. For gas line processes, in-chamber, and sub-fab optimization, we integrate core technologies that create system-level value for heating, sensing and advanced controls.
Our thermal technology is used in many of the critical stages of wafer processing to provide consistent thermal uniformity and the precision and flexibility needed to ensure the highest level of repeatable and reliable thermal performance. Watlow customers also enjoy lower cost-of-ownership, increased machine up-time, superior product quality, and worldwide field service and support.
For example, a low-temperature heater is needed to keep chemical precursors in the vapor phase, thus ensuring uniform and accurate vapor delivery, without introducing contamination into the process chamber or the wafer surface. Within the process chamber, our innovations better manage parameters such as system cycle time as well as temperature uniformity, so wafers can be processed faster and at a higher quality.
For over 100 years, Watlow has grown in product capability, market experience and global reach. The company holds more than 1000 patents and employs 3700 team members working in 13 manufacturing facilities and 9 technology and development centers in the United States, Mexico, Europe and Asia.
Watlow also has sales coverage in 178 countries around the world. Watlow continues to grow, while the commitment remains the same: Pioneering thermal solutions that deliver a thriving future. The spectrum of Watlow capabilities spans advanced thermal solutions across process and power control, heating, sensing, process automation, data management and data insights software.
Watlow also provide technical support and services from small solutions to complex systems. With expertise across a wide span of industrial processes, we know that many of these require a high degree of precision, attention to detail and specialized knowledge. Investing in our support and services helps customers to optimize efficiency, maximize plant availability and protect valuable investments.
MEMBER
Founded in 2017, WHALECHIP CO., LTD. ( WHALECHIP ) is an IC design and WoW (Wafer-on-Wafer) 3DIC Turnkey service provider dedicated to technological innovation. We focus on the development of HPC (High-Performance Computing) ASIC, Distributed Computing , and deliver HPC computing system-level solutions. The company is headquartered in Taipei, Taiwan
The 3D heterogeneous integrated IC architecture “DAIOT+RAMTRIX” defined by WHALECHIP is able to maintain the reusability and iterability of IP cores, and can adapt to the needs of the fast-growing market for high-performance and high-throughput computing in the future. With our industry-leading acceleration chips , high-bandwidth computing as well as 3D heterogeneous integration technology, WHALECHIP is aiming to bring disruptive changes in the application scenarios of artificial intelligence, autonomous driving, cloud servers interconnected computing and blockchain computing power infrastructure layer which has accelerated the arrival of the post-Moore’s Law era.
MEMBER
Winbond is a specialty memory IC company engaged in design, manufacturing and sales services. From product design, research and development, and wafer fabrication to the marketing of brand name products, Winbond endeavors to provide its global clientele top quality low to medium density memory solutions.
MEMBER
Winstek was founded in 2000, and became a subsidiary of Sigurd Group since 2017.
A professional OSAT to support advanced packaging turnkey service with more than 50k pcs/m of 300mm wafer plus 10k pcs/m 200mm bumping capacity, able to support turnkey service of WLCSP, flipchip package more than 120 set of high pin count ATE total solution of BLR, CLR test as well as FA service
1. Advanced wafer level packaging solution, compatible with advanced ( n3/n5 ) & mature wafer node products
2. high pin count/high speed ATE Wafer Probing and Final Test service
3. Advanced packaging solutions including FCBGA/FCCSP/WLCSP/MCM/SiP
3. Lab service for ORT ( on going reliability test ) and Failure Analysis, wafer level FIB
MEMBER
X-FAB is one of the world’s leading specialty foundry groups for analog/mixed-signal semiconductor technologies with a clear focus on automotive, industrial, and medical applications. As a pure-play foundry, X-FAB provides manufacturing and design support services to customers that design analog/mixed-signal integrated circuits (ICs) and other semiconductor devices for use in their own products or the products of their customers. Its customers worldwide benefit from the highest quality standards, manufacturing excellence and innovative solutions by using X-FAB’s modular CMOS and SOI processes in geometries ranging from 1.0 µm to 110 nm, and its special micro-electro-mechanical systems (MEMS) processes. X-FAB is also the first pure-play foundry to provide comprehensive processing technologies for the wide-bandgap materials silicon carbide (SiC) and gallium nitride (GaN). The GaN-on-Si wafers are manufactured in its modern 8” fab in Dresden, Germany, and SiC wafers in the 6” fab in Lubbock, Texas, USA. X-FAB runs six production facilities in Germany, France, Malaysia and the U.S. The company employs about 4,200 people worldwide.
MEMBER
XIVER – More than a MEMS Foundry, leveraging a legacy of innovation
XIVER is a leading independent MEMS foundry specialized in process development, industrialization, and manufacturing of thin-film and MEMS devices.
Empowered by a team of over 110 highly skilled professionals, XIVER leverages decades of innovation and expertise from its Philips heritage.
Utilizing proven process technologies and key-enabling IP-backed platforms, XIVER delivers tailored solutions to its customers active in various markets and applications, including Industrial, Medical, Photonics, and Automotive.
With commitment to excellence, XIVER enables seamless transitions from development to medium-volume production on 200mm wafers, operating in a 2,650m2 cleanroom.
Located at the High Tech Campus Eindhoven, the Netherlands, XIVER is the only independent, pure-play MEMS foundry in Europe, serving customers globally.
XIVER offers innovative product platform solutions, such as its cutting-edge CMUT ultrasound platform that powers the next generation of interventional, portable point-of-care, and wearable ultrasound imaging devices. Our streamlined process ensures innovative, high-performance MEMS solutions tailored to your needs.
We employ a phase-gated approach to ensure a predictable and reliable path for MEMS processes, from concept to manufacturing.
MEMBER
Yamaha Robotics Holdings Co., Ltd. was established in July 2019 as a holding company, with Yamaha Motor Co., Ltd. as the parent company and three operating subsidiaries: SHINKAWA LTD, APIC YAMADA CORPORATION, and PFA Corporation.We can offer to provide a total solution that exceeds our customers’ expectation as the “Turn-Key Provider in Semiconductor Back-end Process Equipment” by integrating the technologies of bonders, molds,surface mounters, Industrial Robots, and various automated equipments.
Development, manufacturing and sales of semiconductor back-end process equipment.”Connecting” technology: die bonding, wire bonding and flip chip bonding; “Solidification” technology: resin encapsulation equipment and molding equipment; “Assembly” technology: electronic component assembly and manufacturing equipment.
MEMBER
Yangzhou Yangjie Electronic Technology Co., Ltd. is one of China’s leading vertically integrated (IDM) semiconductor enterprises, covering the entire industrial chain from the design and manufacturing of discrete semiconductor chips to device packaging and testing, as well as end-user sales and services. The company offers a comprehensive product portfolio, including discrete device chips, MOSFETs, IGBTs and power modules, SiC devices, rectifiers, protection devices, and small signal products, providing customers with one-stop product solutions.
Yangjie Electronic’s products are widely used in various key fields, including automotive electronics, clean energy, industrial control, 5G communications, security, artificial intelligence (AI), and consumer electronics. Through continuous efforts in technological innovation and market service, the company is committed to meeting the diverse needs of customers worldwide.
The company was listed on the Shenzhen Stock Exchange on January 23, 2014, under the stock code 300373.
扬州扬杰电子科技股份有限公司是国内少数集半导体分立器件芯片设计制造、器件封装测试、终端销售与服务等产业链垂直一体化(IDM)的杰出厂商。产品线含盖分立器件芯片、MOSFET、IGBT&功率模块、SiC、整流器件、保护器件、小信号等,为客户提供一揽子产品解决方案。
公司产品广泛应用于汽车电子、清洁能源、工控、5G通讯、安防、AI、消费电子等诸多领域。
公司于2014年1月23日在深交所上市,证券代码300373,相信在您的关怀支持下,我们一定能够成为世界信赖的功率半导体伙伴。
MEMBER
YAYATECH began with a successful collaboration with a Germany’s partner from 2008 to 2014 together, we engineered advanced inspection machines for solar wafers and cells. This international experience was instrumental in our evolution into the semiconductor advance packaging.
Our portfolio now includes state-of-the-art using Infrared (IR) inspection machines for wafer cracks or chipping, Reel IC cracks or chipping, and substrate ICs.
These innovations have garnered recognition from leading packaging companies. With a focus on precision and next-generation packaging requirements, our R&D team is also integrating AI technology to deliver comprehensive inspection solutions.
YAYATECH is a leading provider of advanced Automated Optical Inspection (AOI) solutions, specializing in the design and manufacturing of advance packaging and cutting-edge inspection machines.
Our comprehensive portfolio covers a wide range of applications, including semiconductor inspection equipment, infrared (IR) inspection, and hidden crack or chipping detection. Furthermore, we offer integrated solutions featuring artificial intelligence (AI) technology, reel handling capabilities, infrared (IR) technology.
YAYATECH produts are not only the semicoductor inspection solutioni ,but also have PCB AOI inspection solution such as for PCB hole inspection machines, X-ray inspection systems, hole position verification, general optical inspection, AOI systems, microscopy, strip handling, laser marking, and laser etching inspection.
MEMBER
For over 40 years, Yield Engineering Systems, (YES), has been at the leading edge of material modification and surface enhancement solutions. Starting as a small, R&D-focused company making lab tools, YES has transformed itself into a trusted manufacturer of reliable and high-volume manufacturing equipment. Our systems and technical solutions are the preferred choice of some of the world’s most innovative companies. Headquartered in Fremont, California, with a growing global presence, YES is positioned for unprecedented growth.
To create the next wave of technological advances, our customers must transform the materials and surfaces they use by implementing clean, coat and cure process steps. These transformations not only make new products possible, but also improve manufacturability – allowing engineering breakthroughs to become production realities. YES is committed to developing innovative materials and surface technology solutions that add the greatest value to our customers’ manufacturing processes by lowering cost, increasing yield, and maximizing reliability.
Yield Engineering Systems, (YES), manufactures precision cleaning, coating, curing, and wet process equipment for innovative leaders in the life sciences, emerging technologies, and advanced semiconductor packaging.
YES-VertaCure XP G2 is a production-proven automated vacuum cure system, equipped with 5-zone uniform temperature control and laminar gas flow. This system provides excellent film performance, much higher throughput and lower CoO than atmospheric curing.
The semiconductor industry is ramping up the development of panel-level packaging (PLP). As Heterogeneous Integration becomes mainstream, PLP can also pave a path for larger size, multi-die, chiplet-based solutions for applications such as AI and HPC. YES offers tools to create Through Glass Vias for PLP.
YES’s Fluxless Formic Acid Reflow System, VeroTherm™ FAR offers:
Industry’s best reflow performance extendable to sub-55µm (down to 12µm) bump pitch, multi-substrate, die stacks
Low vacuum technology enables wicking-free reflow for high aspect ratio bumps across wafer and die locations
Designed to minimize formic acid usage per wafer
MEMBER
Yole Group is an international company recognized for its expertise in the analysis of markets, technological developments, and supply chains, as well as the strategy of key players in the semiconductor, photonics, and electronics sectors.
With Yole Intelligence, Yole SystemPlus and Piséo, the group publishes market, technology, performance, reverse engineering and costing analyses and provides consulting services in strategic marketing and technology analysis. The Yole Group Finance division also offers due diligence assistance and supports companies with mergers and acquisitions.
Yole Group benefits from an international sales network. The company now employs more than 180+ people.
More information on www.yolegroup.com.
Company phone:
+33 472 83 01 80
MEMBER
Founded in 2006, Zhen Ding Tech. Holding is a professional service provider that offers one-stop solutions for the design, development, manufacturing, and sales for all types of circuit boards. The above are widely used in end products such as smartphones, tablets, wearable devices, laptops, servers, base stations, networking, high performance computing, high frequency transmission, automotive electronics and aerospace.
Zhen Ding Tech. Group includes Zhen Ding Tech. Holding, Avary holding, Boardtek Electronics and Leading Interconnect Semiconductor Technology. Zhen Ding Tech. Group has five major manufacturing parks across Taiwan, China and Thailand. There are also over 20 sales offices across Taiwan, China, North America, Japan, Korea, Vietnam and Thailand, to provide real-time business and technical services to our customers around the world.
ZDT offer the most comprehensive and advanced circuit boards. Including IC substrates (FCCSP, FCBGA), and FPC, Module, RPCB, HDI, SLP.
End of content
End of content