27-28 August 2025
Suwon
3-4 September 2025 - Dresden
KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards and flat panel displays. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward. Additional information may be found at kla.com
Amkor Technology, Inc. is the world’s largest US headquartered OSAT (outsourced semiconductor assembly and test). Since its founding in 1968, Amkor has pioneered the outsourcing of IC packaging and test services and is a strategic manufacturing partner for the world’s leading semiconductor companies, foundries, and electronics OEMs. Amkor provides turnkey services for the communication, automotive and industrial, computing, and consumer industries, including but not limited to smartphones, electric vehicles, data centers, artificial intelligence and wearables. Amkor’s operational base includes production facilities, product development centers and sales and support offices located in key electronics manufacturing regions in Asia, Europe and the United States. Learn more at https://amkor.com
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
Lam Research Corporation is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Our strong values-based culture fuels our progress, and it’s through collaboration, precision, and delivery that we are driving semiconductor breakthroughs that define the next generation. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com
We combine superior systems engineering, technology leadership, and a commitment to customer success to advance the global semiconductor industry. Our broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand—it’s why nearly every chip today is built with Lam technology.
Established in 1974, Plasma-Therm is a global manufacturer of advanced plasma processing equipment, providing tailored solutions to the specialty semiconductor markets, including wireless, power devices, photonics, sensors, and MEMS, advanced packaging, memory, and R&D. Plasma-Therm’s products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of Plasma-Therm’s global customer base.
Phone: +1 727-577-4999 or information@plasmatherm.com
Plasma-Therm’s product portfolio includes single wafer, batch, and cluster solutions for Etch (ICP, RIE, DSE, IBE, ALE); Deposition (PECVD, HDPCVD, F.A.S.T); Material Modification (HDRF, RTP); and Die Singulation Applications (PPDOT). Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of our global customer base.
Founded in 1993, RENA Technologies has established itself as a global leader in mechanical and process engineering, for a diverse range of industries. We specialize in providing cutting-edge wet processing solutions for the semiconductor, solar, additive manufacturing, glass, and medtech industries.
A key area of expertise is wet chemical immersion, spray and single wafer processes for the manufacturing of semiconductors. With over 1,100 systems installed worldwide, our technology is trusted by manufacturers around the globe to enhance efficiency and production quality.
RENA Technologies employs approximately 1,000 professionals worldwide, all dedicated to innovation and excellence in our field. Headquartered in Gütenbach, Germany, and manufacturing sites in Albany, OR and Wykroty, Poland, we continue to drive advancements in process engineering, ensuring our customers receive the highest quality solutions for their manufacturing needs.
On top we provide worldwide onsite service support with over 150 Experts in 20 locations globally to ensure flawless installation and operation of our tools.
RENA products are used in path-breaking application fields such as semiconductors, MedTech, renewable energies, the glass industry and additive manufacturing. RENA equipment is used to treat or modify surfaces of, for example, semiconductor wafers, solar cells, glass, optical substrates, 3D-printed metal components or other high-tech products using wet chemical processes like etching, stripping, cleaning or drying. RENA offers proven standard machines as well as customer-specific solutions and process support.
Siconnex is one of the leading suppliers of wet chemistry equipment for etch, clean, and resist strip applications. The company’s main headquarters and production facilities are located in Austria, with additional sites in America, Japan, Malaysia, Singapore, and France. Overall, Siconnex operates in eight locations worldwide.
With over 20 years of experience, Siconnex has fully dedicated its efforts to perfecting batch spray technology—the core technology known as BATCHSPRAY®. This technology exemplifies our commitment to reducing environmental impact while maintaining high performance and efficiency.
We believe that sustainability and technological progress go hand in hand, and we combine them in our systems. As a hidden champion, we perform essential work for technology-leading companies and have a significant impact on microchip production worldwide.
Our systems feature a reduced footprint and are therefore chosen by our customers as a space-saving alternative. To ensure the highest possible safety for the operator, our systems are completely closed to avoid direct contact with chemicals. The combination of several processes and the optimized wafer flows is another major benefit.
Environmental protection through sustainable processes
Due to high savings in water and chemicals, our products are cost-efficient and environment friendly. Two of our greatest process advantages are shown by the following comparative examples.
METAL ETCH PROCESS
Three conventional dry etch systems can be replaced by our Acid Autoload system.
CLEAN PROCESS
A conventional cleaning system can be replaced by our Clean Autoload system.
AlixPartners is a results-driven global consulting firm that specializes in helping businesses respond quickly and decisively to their most critical challenges—from urgent performance improvement to complex restructuring, from risk mitigation to accelerated transformation. These are the moments when everything is on the line—a sudden shift in the market, an unexpected performance decline, a time-sensitive deal, a fork-in-the-road decision. We stand shoulder to shoulder with our clients until the job is done, and only measure our success in terms of the results we deliver.
Clients call us when they need pragmatism and cut-through to solve their most complex challenges arising from a continually disrupted world. Our services cover Artificial Intelligence, Corporate Strategy & Transformation, Data Governance, ESG, Growth, Investigations, Disputes & Advisory Services, Mergers & Acquisitions, Organizational Transformation, Supply-Chain Management & Operations, Technology, Transformative Leadership and Turnaround and Restructuring.
For over 20 years, we have been supplying high-performance wet process equipment for the surface treatment of wafers to the world’s leading semiconductor manufacturers. Our modular product range is perfectly tailored to the needs of the semiconductor industry, whether standard wet process equipment or a customized solution is required.
Chemical wet processes are our passion. As a leading supplier for the semiconductor, MEMS and microelectronics industry, we offer wet process equipment for batch and single wafer processes as well as process supporting equipment!
Far-sighted, customer-oriented, innovative and committed to continuous progress – this is AP&S and its wet process equipment. At home in the semiconductor industry worldwide, we help shape the future of semiconductor production!
Efficient wet process solutions for your semiconductor production. Whether you need a standard system or a customized wet process solution: Our modular product range is perfectly tailored to your needs!
AP&S offers the complete range of wet process equipment from single wafer systems to wet benches for batch processes as well as fab logistics equipment.
The AP&S product range includes manual, semi-automatic and fully automatic wet process solutions for the semiconductor, MEMS and microstructuring industries as well as for the R&D sector. Among others, AP&S equipment covers the following wet processes: cleaning, etching, metal etching, PR stripping, electroless metal deposition, lift-off process, drying, developing and others.
We offer wet process equipment for batch processes as well as for single wafer processing and equipment for ensuring cleanliness and maximum productivity in semiconductor production such as FOUP, SMIF and box cleaners as well as various chemical management systems. AP&S equipment is used in front-end-of-line (FEOL) and back-end-of-line (BEOL) processes.
When your expedited shipment needs to reach its destination fast, we do whatever it takes to make it happen. We are more than an international courier, we are your partner in emergency logistics. We understand your challenges and work tirelessly to find the perfect solution for every urgent shipment. Delivering customized, reliable, and efficient NFO and hand-carry solutions for time and mission-critical shipments from anywhere to everywhere in the world. CNW is a major supplier in the Semiconductor and Automotive Industry. In an aircraft on ground situation, getting the plane back in the air is priority #1. With global 48 offices worldwide, plus an arsenal of loyal local logistics partners, CNW is ready to take on your logistic challenges.
General email: info@cnwglobal.com
Phone number:
+1.800.852.2282
+1.718.656.7777
NFO
Our Next Flight Out service is what we’re known for. CNW’s longstanding relationships with airlines, paired with our unwavering determination and creative mindset, allow us to get your package to its destination on the fastest route possible – at a substantial cost savings.
We analyze every variable – such as connecting flight schedules, last minute delays, traffic, office operating hours and weather – before determining the fastest route to get your package to its destination. In fact, CNW’s dedicated team monitors each package as it passes through 16 unique milestones to ensure the trip goes smoothly. But you don’t have to worry about the process. We make it simple for you to move your expedited shipments – leave the logistics to us.
OBC
We analyze every variable – such as connecting flight schedules, last minute delays, traffic, office operating hours and weather – before determining the fastest route to get your package to its destination. In fact, CNW’s dedicated team monitors each package as it passes through 16 unique milestones to ensure the trip goes smoothly. But you don’t have to worry about the process. We make it simple for you to move your expedited shipments – leave the logistics to us.
Our On-Board Courier (OBC) service is secure, reliable, and gives your package that extra special care. All CNW couriers are highly trained and will transport your goods using only the best practices. Moving your shipment via On-Board Courier is as easy as making a phone call. Whenever you need us, we’re here.
ACF
Occasionally, there are extreme situations when the only way to get your package to its destination on time is with an air charter. When that happens, CNW has got you covered. We can charter an aircraft dedicated solely to your shipment – large or small – to guarantee on time delivery of any package.
Coherent empowers market innovators to define the future through breakthrough technologies, from materials to systems. We deliver innovations that resonate with our customers in diversified applications for the industrial, communications, electronics, and instrumentation markets. Headquartered in Santa Clara, California, Coherent has research and development, manufacturing, sales, service, and distribution facilities worldwide. For more information, please visit us at coherent.com.
CREA, a business unit of Advantest Group, designs and manufactures top-tier automatic test equipment for power semiconductor devices.
The CREA product portfolio, combined with specific patented technologies (PCI, RTH, LSI), provides reliable test solutions; from the bare dice (KGD) up to complete assembled power module testing.
For over 20 years and a global network of 4,000+ suppliers, DSV Inventory Management Solutions have helped companies turn their supply chains into a competitive differentiator. By integrating our inventory management operations and supply chain finance program with automation, AI, and data analytics, we streamline processes, boost efficiency, and improve visibility throughout your supply chain — delivering uninterrupted revenue operations and maximizing working capital efficiency.
With the success of our solution, we were recently recognized by Intel as a 2024 Intel EPIC Distinguished Supplier
“As one of the 27 Distinguished Supplier Award recipients in 2024, DSV stands out among suppliers in Intel’s trusted supply chain,” said Keyvan Esfarjani, chief global operations officer at Intel. “Through their relentless drive to improve, they have achieved a level of performance that consistently exceeds Intel’s expectations and serves as a benchmark across the ecosystem.”
The Intel EPIC Distinguished Supplier Award recognizes a consistent level of strong performance across all performance criteria. Of the thousands of Intel suppliers around the world, only a few hundred qualify to participate in the EPIC Supplier Program. The EPIC Distinguished Award is the second-highest honor a supplier can achieve. In 2024, only 27 suppliers in the Intel supply chain network earned this award.
Entegris, Inc. is a leading supplier of advanced materials and process solutions for the semiconductor, life sciences, and other high-tech industries. With over 50 years of expertise, we solve our customers’ most demanding challenges through innovative product development and a comprehensive portfolio, grounded in:
– Materials science and analytics
– Microcontamination control
– Advanced materials
– Manufacturing excellence
With a global team of 8,000 employees and ISO 9001-certified facilities, we operate worldwide to support innovation and reliability. Our German and French offices play a key role in Europe’s semiconductor ecosystem, offering proximity and local expertise.
Sustainability is embedded in everything we do. From enabling the desalination industry to regenerating gas purifiers for semiconductor manufacturing at our German facility, we are committed to creating solutions that drive innovation while supporting a more sustainable future for our planet.
Facing material issues, yield challenges, or reliability concerns? Entegris is your trusted partner.
• Filters that purify process gases, fluids, and the ambient fab environment
• Liquid systems that dispense, control, analyze, or transport process fluids
• Gas delivery systems that safely store and deliver toxic gases
• Advanced liquid, gaseous, and solid precursors for chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes
• Advanced materials for wafer surface preparation and integration (SPI) and other processes requiring high purity chemistries
• Specialty chemicals including organometallic and organosilane materials used in semiconductor device manufacturing, monomers and polymers used in the manufacture of medical devices, and isotopically labeled materials used in clinical diagnostics
• Polishing pads for chemical mechanical planarization (CMP) applications
• Slurries for CMP applications and for polishing other substrates including ultra-hard surfaces like silicon carbide (SiC) and gallium nitride (GaN)
• Single-use assemblies for purity and reliability in storage, freezing, and shipment of biopharmaceutical products
• Specialty coatings that provide high-purity surfaces for wear resistance, corrosion protection, and smoothness
• Premium graphite and silicon carbide for high-performance applications
• Shippers and trays for protecting and transporting medical device and disk drive components
• Microenvironments that protect semiconductor wafers and reticles from contamination and breakage
• Cleaning solutions for e-chucks, probe cards, and test contactors
EV Group (EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices and nanotechnology devices.
A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin-wafer processing and lithography/nanoimprint lithography (NIL) equipment, photoresist coaters, as well as cleaning and inspection/metrology systems.
With state-of-the-art application labs and cleanrooms at its headquarters in Austria, as well as in North America and Asia, EVG is focused on delivering superior process expertise to its global R&D and production customer and partner base – from the initial development through to the final integration at the customer’s site.
Founded in 1980, EVG services and supports an elaborate network of global customers and partners all over the world, with more than 1250 employees worldwide and fully-owned subsidiaries in the U.S., Japan, South Korea, China and Taiwan.
TEL: +43771253110
email: info@evgroup.com
website: www.EVGroup.com
Evatec delivers complete thin film deposition solutions in Advanced Packaging, Semiconductor, Optoelectronics and Photonics – from UBM /RDL processes in FOWLP and FOPLP applications, to deposition of high performance piezoelectrics like AlScN for 5G networks or NIR bandpass filters for 3D sensing, face and gesture recognition in our smart devices. We deliver tailored production solutions with batch, cluster or inline architecture according to your substrate format, throughput, process and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in deposition through ‘in situ” capability for control of film properties during the deposition cycle. Reduce your process development times, enhance repeatability and yields or increase tool throughput.
Hermes-Epitek was founded in 1977. Our vision is to “be a world-class semiconductor and Opto-Electronic company“ by delivering exceptional products and services, uniting the team with the motto ”service by Hermes-Epitek”. Over the 40 years, Hermes-Epitek has been supporting customers in Asia with our partners, serving more than 200 semiconductor and opto-electronic plants. We have successfully developed E-beam inspection, Ion beam implanter, and MOCVD systems. Our service teams are located in Taiwan, Singapore, Malaysia, and China, with more than 1200 employees worldwide. For more information, please visit https://www.hermes.com.tw/en/ Head Office Tel +886-3-579-0022 Fax +886-3-579-0011 Address No.18, Creation Rd., 1, Hsinchu Science Park, Hsinchu 300093, Taiwan
Kurt J. Lesker Company® (KJLC®) is the leading global provider of exceptional customer service and high-quality vacuum equipment, with a goal of enabling the innovation, creation, and advancement of a vast array of products.
The depth and breadth of the markets we serve include: Semiconductor, LEDs, Optics, UHV/Synchrotrons, Wear and Decorative Coatings and R&D. Working side by side with our customers, we’re making an impact on the world today as well as a better tomorrow.
We help our customers compete and succeed on the leading edge of technology. Built on a tradition of customer service and quality, KJLC has grown from a regional manufacturer and distributor of vacuum components into today’s worldwide supplier in virtually every market.
As a manufacturer and distributor of all things vacuum, focus is critical. That’s why KJLC has four divisions—each relentlessly tackling challenges that a specific application demands. But rest assured, even with our focus on progress and innovation that helps to create the future, we always keep sight of quality and environmental stewardship of resources, as well as an embedded commitment to customer satisfaction—that’s in our foundation.
Founded in 1954, the Kurt J. Lesker Company® (KJLC®) is a manufacturer of vacuum equipment and systems to research laboratories and high technology industries from our facilities around the world. We are a leading manufacturer custom vacuum chambers, weldments, and components, recognized worldwide for specializing in both R&D and production environments.
From smaller, spherical chambers several inches in diameter for R&D, to large box chambers over 14′ long for production coatings, our expansive facilities are poised to service any and all custom vacuum chamber needs.
KJLC® also provides the very building blocks of the vacuum industry. We maintain the largest inventory of vacuum products available anywhere, so no matter the need, finding your part at KJLC® is a simple, straight forward process from start to finish. KJLC® has the most comprehensive inventory in the industry strategically located in our global distribution centers, providing the benefit of “buying locally” from a global supplier. Let us provide streamlined solutions to your complex supply chain and logistic issues.
Specifically, KJLC® offers the widest selection of internationally compatible flanged components, feedthroughs, valves, and components, along with a wide range of vacuum pumps, gauging, and vacuum fluids.
Okmetic, established in 1985, is the 7th largest silicon wafer manufacturer in the world. The company specializes in 150-200 mm silicon and SOI wafers, serving power, MEMS, and RF device industries. Okmetic is a key player in the power device sector, offering optimized silicon wafers for discrete power devices, power management applications, and GaN growth.
Okmetic has worldwide sales organization and headquarters located in Finland, where the majority of its silicon wafers is manufactured. The company’s fab expansion set to be operational in early 2025 will increase 200 mm wafer capacity significantly. Okmetic operations are certified under ISO 9001:2015, ISO 14001:2015, and IATF 16949:2016, highlighting its commitment to quality and sustainability.
Okmetic is the leading supplier of advanced, high value-added silicon wafers for the manufacture of MEMS and sensors, RF filters and devices as well as power devices. We have the most extensive 150 to 200mm wafer portfolio in the market comprising of comprehensive lines of SOI wafers and High Resistivity RFSi® wafers as well as Patterned wafers, SSP and DSP wafers, TSV wafers, Wafers for Power devices and GaN-on-Si applications.
Okmetic’s silicon wafers are tailored to the customer’s product, process and technology needs, and produced in volume production. This ensures optimum wafer performance leading to multiple customer benefits: increased device performance and functionality, more advanced design possibilities, improved yield as well as streamlined and cost-effective manufacturing. Our silicon wafer solutions can be found e.g. in smartphones, portable devices and automotive electronics, and they support applications related to industrial process control, healthcare, the Internet of Things, and power and efficiency improvement.
Pentamaster Group provides automation technology and solutions to multinational manufacturers in the automotive, semiconductor, electro-optical, consumer electronics, and medical sector, spanning across APAC, North America and Europe. Besides the HQ and production plant in Penang, Malaysia, the Group has strategic presence globally with offices located in the USA, Japan, Germany, Singapore and production facilities in China.
With the speed and magnitude of technology progress today, Pentamaster Group will always be bold enough to explore new innovations. For years, the Group has been one of the leading global providers of automated test equipment to suit different requirements and needs of customers from various industries. In the automotive segment, the group has developed a proprietary SiC wafer burn-in system, being one of the top four manufacturers in the world, in creating a niche market space for this growth sub-segment and to solidify the Group’s position in the automotive industry.
Since its foundation in 1970, PI (Physik Instrumente) has established itself as a leading manufacturer of precision positioning technology. The key element and motivation of the entrepreneurial behavior have always remained the same: Finding the best possible solution for the customer.
PI is well known for the quality of its products and has been one of the leading players in the global market for precision positioning technology for many years. One of the most important building blocks for this is the team spirit within the international PI family, which is based on mutual understanding and support that goes beyond international borders and functional restrictions.
PI is a privately owned company with healthy growth, 1,790 employees worldwide and a flexible, vertically integrated organization, which enables it to fulfill almost any request in the field of innovative precision positioning technology. The foremost priority for PI is to be a reliable and highly qualified partner for the customer.
PI Supports Semiconductor Equipment Suppliers Worldwide with High-Precision and Reliable Motion and Control Solutions for Applications Such As:
PLANOPTIK sets global standards with glass-based solutions for the semiconductor industry, microsystems, and microfluidics with manufacturers in over 40 countries. PLANOPTIK creates customized products that support cutting-edge sensors, microelectronics, and medical diagnostics.
In advanced packaging, PLANOPTIK’s glass core substrates enable ultra-compact microchip arrangements, enhancing performance, energy efficiency and device miniaturization. Its high-precision glass micro circuit boards are key for future semiconductor advancements, using copper-coated, lithographically processed glass for critical connections.
PLANOPTIK collaborates with its customers to design and produce high-volume, wafer-based components, offering solutions from glass wafers to thin-wafer handling tools.
PLANOPTIK develops and manufactures Wafers & Panels for business different business areas like Advanced Packaging and Wafer-/Panel-Level-Packaging. PLANOPTIK also creates Carrier & Tools for Thin-Wafer-Handling and processing applications. Furthermore, PLANOPTIK develops and produces Microreactors, Fluidic Chips and syringe pumps for Microfluidics and Flow Chemistry applications.
Soitec is a world leader in the production of innovative semiconductor materials. The company leverages its unique technologies to serve the electronics markets.
In meeting the technical and economic challenges of mainstream electronics, Soitec is helping to speed up the mobile and digital revolutions. Its products are used to manufacture chips that go into smartphones, tablets, computers, IT servers and data centers as well as electronic components in cars, connected devices, and industrial and medical equipment.
With more than 4,000 patents, the company pursues a strategy of disruptive innovation to provide its customers with products that combine performance, energy efficiency and competitiveness.
Soitec is headquartered in Bernin France. The company was founded 30 years ago in Grenoble’s high-tech ecosystem and has manufacturing facilities, R&D centers and sales offices in Europe, the United States and Asia. Soitec is listed on the CAC NEXT 20, in Paris.
For more information visit: www.soitec.com.
Established in 1976, SPEA is a world leading company in the field of automatic test equipment for ICs, MEMS, sensors, electronic boards. SPEA serves the big semiconductor IDMs and OSATs with the most cost-effective and high-performance equipment to test automotive, SoCs, analog mixed-signal devices, MEMS sensors and actuators, power and discretes, identification devices, delivering highest measurement capabilities, lowest cost of test and fastest time-to-market. SPEA systems are designed to detect any possible defect in electronic products, so that they won’t fail on the field. High throughput, best detection capability, test techniques designed on the latest technologies requirements, complete configurability. For SPEA customers, testing is not an additional cost, but a tangible competitive advantage.
SUMCO is a leading silicon wafer supplier to the semiconductor industry.
SUMCO mission: It is the mission of the SUMCO Group to be the world’s No. 1 silicon wafer supplier by exceeding the expectation of our customers and stockholders, by recognizing the value of our employees and by being good neighbors in communities
Other detailed information you can find on the website: https://www.sumcosi.com/english/
Trymax, your partner for plasma
Our core business is to support semiconductor manufacturers throughout the world with innovative plasma-based solutions for ashing, descum, surface preparation, isotropic etch, photoresist curing and charge erase that are used in the fabrication of integrated circuits.
Trymax Semiconductor Equipment designs, manufactures, and markets its own NEO equipment. A wide range of different NEO platforms are available, from single chamber semi-automatic tools, through to multi chamber high volume manufacturing platforms. Trymax offers a number of different plasma technology chambers which are configurable across all NEO platforms. This enables Trymax to offer an extremely wide range of different process capabilities to meet customer’s requirements.
Trymax is a privately held company. It has been on operation since 2003 and has its registered headquarters in Nijmegen, the Netherlands. We are ISO-9001 accredited and operate on a global basis, supporting our customers who operate across Europe, Asia and America.
Veeco (NASDAQ: VECO) is an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, metal organic chemical vapor deposition (MOCVD) and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in the markets we serve. To learn more about Veeco’s systems and service offerings, visit www.veeco.com.
End of content
End of content