27-28 August 2025
Suwon
For over 20 years, we have been supplying high-performance wet process equipment for the surface treatment of wafers to the world’s leading semiconductor manufacturers. Our modular product range is perfectly tailored to the needs of the semiconductor industry, whether standard wet process equipment or a customized solution is required.
Chemical wet processes are our passion. As a leading supplier for the semiconductor, MEMS and microelectronics industry, we offer wet process equipment for batch and single wafer processes as well as process supporting equipment!
Far-sighted, customer-oriented, innovative and committed to continuous progress – this is AP&S and its wet process equipment. At home in the semiconductor industry worldwide, we help shape the future of semiconductor production!
Efficient wet process solutions for your semiconductor production. Whether you need a standard system or a customized wet process solution: Our modular product range is perfectly tailored to your needs!
AP&S offers the complete range of wet process equipment from single wafer systems to wet benches for batch processes as well as fab logistics equipment.
The AP&S product range includes manual, semi-automatic and fully automatic wet process solutions for the semiconductor, MEMS and microstructuring industries as well as for the R&D sector. Among others, AP&S equipment covers the following wet processes: cleaning, etching, metal etching, PR stripping, electroless metal deposition, lift-off process, drying, developing and others.
We offer wet process equipment for batch processes as well as for single wafer processing and equipment for ensuring cleanliness and maximum productivity in semiconductor production such as FOUP, SMIF and box cleaners as well as various chemical management systems. AP&S equipment is used in front-end-of-line (FEOL) and back-end-of-line (BEOL) processes.
Established in 1993 in Italy, EDA Industries is a dynamic and experienced engineering company, focused on the production of Burn-In and Test Equipment for the semiconductor industry.
EDA Industries has its headquarter in Rieti and over the years has opened new offices not only in Terni and Catania, but also in the Philippines, Singapore, Malaysia, China and Morocco.
EDA Industries has more than 500 systems installed worldwide in 25 countries, providing a full turn-key solution for Testing, Burn-In and Reliability Test:
With a strong focus on the Automotive market, EDA has been walking the road of Innovation for over 30 years and began 2016 by expanding its Research Centre for SiC & GaN technology.
Thanks to the evolution of its SocrATE WLBI and ETNA Equipment, EDA’s principal strategic objective is to strenghten and confirm its leadership in Burn-In & Testing both in Front-End and Back-End, furnishing a unique Testing Platform for WBG devices.
Evatec delivers complete thin film deposition solutions in Advanced Packaging, Semiconductor, Optoelectronics and Photonics – from UBM /RDL processes in FOWLP and FOPLP applications, to deposition of high performance piezoelectrics like AlScN for 5G networks or NIR bandpass filters for 3D sensing, face and gesture recognition in our smart devices. We deliver tailored production solutions with batch, cluster or inline architecture according to your substrate format, throughput, process and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in deposition through ‘in situ” capability for control of film properties during the deposition cycle. Reduce your process development times, enhance repeatability and yields or increase tool throughput.
Lam Research Corporation is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Our strong values-based culture fuels our progress, and it’s through collaboration, precision, and delivery that we are driving semiconductor breakthroughs that define the next generation. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com
We combine superior systems engineering, technology leadership, and a commitment to customer success to advance the global semiconductor industry. Our broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand—it’s why nearly every chip today is built with Lam technology.
As the market demands ever more efficient power electronics, SiC power device manufacturing faces increasing challenges in achieving homogeneous and precise doping of the voltage sustaining layer. This leads to high component cost, yield issues and limits further device progress. Thus, mi2-factory suggests to split up the fabrication of the epi layer into two steps: first, a mere epi-deposition step (undoped) and second, a high precision deep doping step. How to accomplish this? mi2-factory introduces its advanced high energy ion implanter called “EFIITRON.” EFIITRON technology enables high-precision micrometer deep doping with an accuracy better than +/- 3%. This groundbreaking technology offers a precise, flexible, and cost-effective solution for SiC doping in high-volume wafer production. It provides a wide range of options to develop new or enhanced SiC products, with some disruptive possibilities, like 3D doping structures. EFIITRON will also enable novel, cost-effective SiC substrates without the need for expensive epitaxy.
Atotech, a brand within the Materials Solutions Division of MKS Instruments, develops leading process and manufacturing technologies for advanced surface modification, electroless and electrolytic plating, and surface finishing. Applying a comprehensive systems-and-solutions approach, Atotech’s portfolio includes chemistry, equipment, software, and services for innovative and high-technology applications. These solutions are used in a wide variety of end-markets, including datacenter, consumer electronics and communications infrastructure, as well as in numerous industrial and consumer applications such as automotive, heavy machinery, and household appliances. With its well-established innovative strength and industry-leading global TechCenter network, MKS delivers pioneering solutions through its Atotech brand – combined with unparalleled on-site support for customers worldwide. For more information about Atotech, please visit us at atotech.com
Okmetic, established in 1985, is the 7th largest silicon wafer manufacturer in the world. The company specializes in 150-200 mm silicon and SOI wafers, serving power, MEMS, and RF device industries. Okmetic is a key player in the power device sector, offering optimized silicon wafers for discrete power devices, power management applications, and GaN growth.
Okmetic has worldwide sales organization and headquarters located in Finland, where the majority of its silicon wafers is manufactured. The company’s fab expansion set to be operational in early 2025 will increase 200 mm wafer capacity significantly. Okmetic operations are certified under ISO 9001:2015, ISO 14001:2015, and IATF 16949:2016, highlighting its commitment to quality and sustainability.
Okmetic is the leading supplier of advanced, high value-added silicon wafers for the manufacture of MEMS and sensors, RF filters and devices as well as power devices. We have the most extensive 150 to 200mm wafer portfolio in the market comprising of comprehensive lines of SOI wafers and High Resistivity RFSi® wafers as well as Patterned wafers, SSP and DSP wafers, TSV wafers, Wafers for Power devices and GaN-on-Si applications.
Okmetic’s silicon wafers are tailored to the customer’s product, process and technology needs, and produced in volume production. This ensures optimum wafer performance leading to multiple customer benefits: increased device performance and functionality, more advanced design possibilities, improved yield as well as streamlined and cost-effective manufacturing. Our silicon wafer solutions can be found e.g. in smartphones, portable devices and automotive electronics, and they support applications related to industrial process control, healthcare, the Internet of Things, and power and efficiency improvement.
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
Established in 1974, Plasma-Therm is a global manufacturer of advanced plasma processing equipment, providing tailored solutions to the specialty semiconductor markets, including wireless, power devices, photonics, sensors, and MEMS, advanced packaging, memory, and R&D. Plasma-Therm’s products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of Plasma-Therm’s global customer base.
Phone: +1 727-577-4999 or information@plasmatherm.com
Plasma-Therm’s product portfolio includes single wafer, batch, and cluster solutions for Etch (ICP, RIE, DSE, IBE, ALE); Deposition (PECVD, HDPCVD, F.A.S.T); Material Modification (HDRF, RTP); and Die Singulation Applications (PPDOT). Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of our global customer base.
PulseForge, Inc. develops and manufactures state-of-the-art flashlamp-based tools that deliver energy in a precise and targeted manner to enable innovation in industrial manufacturing. Our expertise and tools empower our customers to explore novel materials and manufacturing methodologies, driving dynamic and efficient production at an industrial scale.
As a leading global company of semiconductor and flat panel display (FPD) production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. Building on the technological expertise and know-how that we have been cultivating since our inception over 50 years ago, we strive to contribute to the development of a dream-inspiring society. All of TEL’s semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments. TEL provides outstanding products and services to customers through a global network in the U.S., Europe, and Asia.
Website: https://www.tel.com/
Phone: +1-512-424-100
Additional Contact Information: https://www.tel.com/contactus/
Veeco (NASDAQ: VECO) is an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, metal organic chemical vapor deposition (MOCVD) and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in the markets we serve. To learn more about Veeco’s systems and service offerings, visit www.veeco.com.
End of content
End of content