27-28 August 2025
Suwon
14-15 April 2025 - Silicon Valley
KLA develops industry-leading equipment and services that enable innovation throughout the electronics industry. We provide advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards and flat panel displays. In close collaboration with leading customers across the globe, our expert teams of physicists, engineers, data scientists and problem-solvers design solutions that move the world forward. Additional information may be found at kla.com
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
Natcast is a purpose-built, non-profit entity designated to operate the National Semiconductor Technology Center (NSTC) by the Department of Commerce. Established by the bipartisan CHIPS and Science Act of the U.S. government, the NSTC is a public-private consortium with a mission to advance U.S.-led semiconductor research and innovation, foster a skilled workforce, and strengthen America’s economic and national security.
Headquartered in Fremont, California, Aehr Test Systems is a leading provider of test solutions for testing, burning-in, and stabilizing semiconductor devices in wafer level, singulated die, and package part form, and has installed thousands of systems worldwide. Increasing quality, reliability, safety, and security needs of semiconductors used across multiple applications, including electric vehicles, electric vehicle charging infrastructure, solar and wind power, computing, data and telecommunications infrastructure, and solid-state memory and storage, are driving additional test requirements, incremental capacity needs, and new opportunities for Aehr Test products and solutions.
ACOOL uses a patented process to produce graphene-modified copper, which is a new material after graphene and copper form a covalent-metallic bond.
The world‘s only mass-producible graphene copper material, all properties exceed those of oxygen-free copper, we named ACOOL COPPER, that are transcendent.
It is formed by graphene and copper. It has dozens of excellent characteristics such as improved mechanical properties, electrical conductivity, thermal conductivity, corrosion resistance, oxidation resistance, EMI resistance, bending resistance, fusible current resistance, high voltage and low leakage, and low temperature coefficient.
For over 20 years, we have been supplying high-performance wet process equipment for the surface treatment of wafers to the world’s leading semiconductor manufacturers. Our modular product range is perfectly tailored to the needs of the semiconductor industry, whether standard wet process equipment or a customized solution is required.
Chemical wet processes are our passion. As a leading supplier for the semiconductor, MEMS and microelectronics industry, we offer wet process equipment for batch and single wafer processes as well as process supporting equipment!
Far-sighted, customer-oriented, innovative and committed to continuous progress – this is AP&S and its wet process equipment. At home in the semiconductor industry worldwide, we help shape the future of semiconductor production!
Efficient wet process solutions for your semiconductor production. Whether you need a standard system or a customized wet process solution: Our modular product range is perfectly tailored to your needs!
AP&S offers the complete range of wet process equipment from single wafer systems to wet benches for batch processes as well as fab logistics equipment.
The AP&S product range includes manual, semi-automatic and fully automatic wet process solutions for the semiconductor, MEMS and microstructuring industries as well as for the R&D sector. Among others, AP&S equipment covers the following wet processes: cleaning, etching, metal etching, PR stripping, electroless metal deposition, lift-off process, drying, developing and others.
We offer wet process equipment for batch processes as well as for single wafer processing and equipment for ensuring cleanliness and maximum productivity in semiconductor production such as FOUP, SMIF and box cleaners as well as various chemical management systems. AP&S equipment is used in front-end-of-line (FEOL) and back-end-of-line (BEOL) processes.
Established in 2000, ATREG is headquartered in Seattle, USA. ATREG is the only firm in the world dedicated to the holistic exchange of advanced technology cleanroom manufacturing infrastructure. A linchpin of the semiconductor industry for nearly 25 years, ATREG has served as an objective intermediary in the transfer of over $30 billion in assets, acting as an indispensable conduit for the growth of its partners and the industry as a whole while remaining uniquely focused on aggregate asset deployment and human capital retention. Some of the world’s largest and most reputable semiconductor, assembly & test (A&T), display, and electronics companies trust ATREG to successfully complete their manufacturing asset disposition and acquisition transactions all over the globe. More information on ATREG available at www.atreg.com.
ATREG provides global advanced technology companies with customized advisory and execution services for the disposition and acquisition of infrastructure-rich advanced technology manufacturing assets. Spanning North America, Europe, and Asia, our complex transaction assignments revolve around semiconductor fabs, cleanroom facilities, and technology campuses. We use our unique blend of market knowledge, industry relationships, and transaction expertise to help clients drive strategic decisions and achieve their fab transaction objectives. More information about our advisory services available at https://atreg.com/core-services/.
ClassOne Technology is a leading provider of advanced electroplating and wet processing systems for semiconductor and microelectronic device manufacturing around the world. Its advanced IP portfolio comprises highly customized, cost-effective processing solutions for critical wafer processes used to manufacture compound semiconductor devices for the photonics, power, 5G, microLED, and MEMS and sensor markets. With tools installed in leading-edge fabs and research organizations worldwide, ClassOne’s flagship Solstice platform is highly configurable, comprising fully and semi-automated electroplating and wet processing applications with the industry’s most competitive ROI. For more information, please visit classone.com.
We are a global leader in advanced metrology and inspection solutions for the semiconductor industry. Previously known as UnitySC, we became part of EMD Electronics in October 2024. This strategic integration has expanded our resources and accelerated our ability to deliver advanced metrology and inspection solutions for the semiconductor industry.
We focus on enabling next-generation semiconductor technologies, including 3D integration, High Bandwidth Memory (HBM), and compound semiconductors used in AI accelerators, 5G communications, electric vehicles, etc. Our expertise spans both front-end and back-end processes, supporting manufacturers in improving yield, ensuring process control, and reducing time-to-market.
Our solutions are trusted by leading semiconductor companies worldwide to meet the industry’s increasing complexity and performance demands.
We design and manufacture state-of-the-art metrology and inspection systems that address the stringent requirements of semiconductor manufacturing. As a leader in TSV (Through Silicon Via) metrology, we support the production of HBM (High Bandwidth Memory) stacks used in AI accelerators and Large Language Model (LLM) training. Our solutions deliver highly accurate measurement and defect detection capabilities, helping manufacturers maximize yield and optimize cost-efficiency. We are also a strategic supplier to the compound semiconductor market, offering advanced inspection technologies for 5G communication chips and electric vehicle (EV) power devices. Our platforms integrate multiple inspection techniques ensuring comprehensive process control in both front-end and back-end manufacturing. Designed to be modular and scalable, our systems help semiconductor manufacturers address today’s production challenges while preparing for future technology nodes and integration schemes.
Evatec delivers complete thin film deposition solutions in Advanced Packaging, Semiconductor, Optoelectronics and Photonics – from UBM /RDL processes in FOWLP and FOPLP applications, to deposition of high performance piezoelectrics like AlScN for 5G networks or NIR bandpass filters for 3D sensing, face and gesture recognition in our smart devices. We deliver tailored production solutions with batch, cluster or inline architecture according to your substrate format, throughput, process and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in deposition through ‘in situ” capability for control of film properties during the deposition cycle. Reduce your process development times, enhance repeatability and yields or increase tool throughput.
Exyte is a global leader in design, engineering and delivery of facilities for high-tech industries. With a history of more than 100 years, the company has developed a unique expertise in cleanroom and related manufacturing technology.
Operating in over 20 countries and with around 9,900 talented employees across 80 nationalities worldwide, Exyte is serving the most technically demanding markets such as semiconductors, batteries, pharmaceuticals, biotechnology, and data centers. Exyte offers a full range of services globally from consulting to managing the implementation of turnkey solutions with the highest standards in safety and quality.
Exyte serves industry leaders determining the future of technology through world-class solutions to accelerate their sustainable growth and overcome future challenges.
With our global supply chain network, in-house technology prowess and experienced engineering and project execution teams, we deliver Certainty in schedule, cost and quality to our clients while addressing the need to reduce environmental impacts
Exyte’s Advanced Technology Facilities (ATF) business segment provides consulting and planning services, engineering and design services, as well as project management services surrounding the building of semiconductor fabs and their related installations for manufacturing, research and development.
We combine our range of services to provide turnkey engineering, procurement, and implementation solutions (Design & Build). Additionally, We offer services in the area of mechanical, electrical, and process systems (MEP), provides cleanroom technology, as well as necessary gas and chemical dosing systems, and carries out the installation (Tool Install) of the process equipment used for semiconductor manufacturing purposes.
The integration of the Critical Process Systems Group (CPS), Airgard, and Intega within the Exyte Group has expanded the range of products and services offered to microelectronic clients. The range offered encompasses innovative, critical subsystems, such as precision chemical and gas mixing systems, distribution and delivery systems, and special exhaust gas cleaning systems that enable chip manufacturers to significantly reduce their greenhouse gas emissions.
Our extensive experience in delivering sophisticated high-tech facilities, by means of its integrated design and build approach, provides our clients with the reliability they expect in terms of safety, costs, timelines, and quality.
Green Technology Investments LLC (GTi), headquartered in Scottsdale, Arizona, is a pioneering force in the semiconductor industry. With a focus on innovative remanufacturing and software solutions, GTi aims to revolutionize how businesses access advanced technology. Since its inception, in 2012, GTi has been committed to providing high-quality equipment and expert services to its global clientele. With offices strategically located in North America, Europe, and Asia, GTi is well-positioned to meet the needs of customers worldwide. By investing in research and development, GTi continues to expand the capabilities of remanufactured equipment and software, making cutting-edge technology more accessible and affordable for businesses of all sizes. GTi’s impact on the semiconductor industry is profound, enabling businesses to compete effectively in today’s dynamic market landscape.
Green Technology Investments LLC (GTi) offers a comprehensive range of products and services tailored to the semiconductor industry’s evolving needs. Specializing in remanufacturing and software solutions, GTi provides access to advanced technology at a more affordable price point. Their product lineup includes remanufactured semiconductor equipment such as CD-SEM, DR-SEM metrology systems, and MASK systems, ensuring high-quality performance and significant cost savings compared to new systems. In addition to equipment, GTi offers ready-to-ship spare parts, expert service support, and foundry capabilities to enhance customer experience and satisfaction. With a relentless focus on innovation and customer satisfaction, GTi is dedicated to empowering businesses of all sizes with the tools they need to thrive in today’s competitive global market.
Henkel was found in 1876 by founder Fritz Henkel. With our business units – Adhesive Technologies and Consumer Brands – we hold leading market positions in both the industrial and consumer goods businesses.
Henkel adhesive technologies is world No. 1 producer in adhesives, sealants and functional coatings. With trusted brands and high-impact solutions based on an unmatched technology portfolio we are creating value for all our stakeholders. As experts for industrial applications in more than 800 industries, we work closely with our customers and partners. Based on our broad technology portfolio and our strong innovative power, we continuously develop customized solutions.
In fiscal 2023, Henkel reported sales of more than 21.5 billion euros and adjusted operating profit of around 2.6 billion euros. Sustainability has a long tradition at Henkel, and the company has a clear sustainability strategy with specific targets. Today employs a diverse team of about 48,000 people worldwide.
Henkel is the world’s leading provider of qualified, compatible material sets for semiconductor packaging. We’re your global partner prepared to handle any challenge and develop a solution that is smart and sustainable — together with you.
Henkel’s total solutions approach leverages extensive global resources to deliver superior semiconductor packaging material technologies and cost-competitive performance. From die attach adhesives used in traditional wirebond packaging to advanced underfills and encapsulants for advanced packaging applications, Henkel provides the cutting-edge materials technology and global support top microelectronics companies require.
Henkel’s advanced packaging solution include:
•Anhydride-free, low warpage liquid compression molding underfill (LC-MUF) for fine-gap filling and low wafer-level warpage.
• High fracture toughness, low CTE capillary underfills for faster flow and thorough bump encapsulation on large dies.
• Low-stress, high-elongation lid attach materials for improved warpage control and enhanced reliability performance.
Hermes-Epitek was founded in 1977. Our vision is to “be a world-class semiconductor and Opto-Electronic company“ by delivering exceptional products and services, uniting the team with the motto ”service by Hermes-Epitek”. Over the 40 years, Hermes-Epitek has been supporting customers in Asia with our partners, serving more than 200 semiconductor and opto-electronic plants. We have successfully developed E-beam inspection, Ion beam implanter, and MOCVD systems. Our service teams are located in Taiwan, Singapore, Malaysia, and China, with more than 1200 employees worldwide. For more information, please visit https://www.hermes.com.tw/en/ Head Office Tel +886-3-579-0022 Fax +886-3-579-0011 Address No.18, Creation Rd., 1, Hsinchu Science Park, Hsinchu 300093, Taiwan
Hesse Mechatronics is a wire bonder, smart welder, and laser welder manufacturer. Hesse also provides automation solutions. We design and manufacture all types of wire bonders, ball, fine wedge, heavy wedge, fine ribbon, and heavy ribbon.
In addition to designing and manufacturing wire bonders, smart welders, and laser welders, Hesse also has Hesse Customer Solutions, Inc. that provides wire bond services to companies. We could provide product development, proof of concept, design of experiments, etc.
JAS – Worldwide is a global leader in supply chain and logistics with a history dating back to 1978 in Milan, Italy. Growing from its regional roots, we now stand as one of the world’s largest family-owned logistics companies, headquartered in Atlanta, Georgia, USA. Today, JAS covers more than 100 countries with over 7.000 employees globally and continues to expand with its core culture, “People make the difference,” and its strong commitment to a sustainable future.
From raw silicon to the built-in device – JAS delivers logistics and supply chain solutions for the tech industry. We support semiconductor companies with integrated solutions across the entire supply chain: from construction of new fabs, capital equipment moves to production material and spare parts supply. Offering time critical, ad-hoc and multi-project logistics to build resilient and sustainable supply chains.
Lam Research Corporation is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Our strong values-based culture fuels our progress, and it’s through collaboration, precision, and delivery that we are driving semiconductor breakthroughs that define the next generation. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com
We combine superior systems engineering, technology leadership, and a commitment to customer success to advance the global semiconductor industry. Our broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand—it’s why nearly every chip today is built with Lam technology.
Materion Electronic Materials is a leading global supplier of thin-film deposition and sputtering target materials for semiconductor applications ranging from data storage, power and communications to optoelectronics, logic and advanced memory. Our services include precious metals recovery and refining, precision parts cleaning and metals management.
Customers choose Materion for a variety of reasons, including:
For more information, visit us at materion.com/electronic-materials
Pentamaster Group provides automation technology and solutions to multinational manufacturers in the automotive, semiconductor, electro-optical, consumer electronics, and medical sector, spanning across APAC, North America and Europe. Besides the HQ and production plant in Penang, Malaysia, the Group has strategic presence globally with offices located in the USA, Japan, Germany, Singapore and production facilities in China.
With the speed and magnitude of technology progress today, Pentamaster Group will always be bold enough to explore new innovations. For years, the Group has been one of the leading global providers of automated test equipment to suit different requirements and needs of customers from various industries. In the automotive segment, the group has developed a proprietary SiC wafer burn-in system, being one of the top four manufacturers in the world, in creating a niche market space for this growth sub-segment and to solidify the Group’s position in the automotive industry.
Established in 1974, Plasma-Therm is a global manufacturer of advanced plasma processing equipment, providing tailored solutions to the specialty semiconductor markets, including wireless, power devices, photonics, sensors, and MEMS, advanced packaging, memory, and R&D. Plasma-Therm’s products have been adopted globally and have earned their reputation for value, reliability, and world-class support. Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of Plasma-Therm’s global customer base.
Phone: +1 727-577-4999 or information@plasmatherm.com
Plasma-Therm’s product portfolio includes single wafer, batch, and cluster solutions for Etch (ICP, RIE, DSE, IBE, ALE); Deposition (PECVD, HDPCVD, F.A.S.T); Material Modification (HDRF, RTP); and Die Singulation Applications (PPDOT). Sales and service locations throughout North America, Europe, and Asia-Pacific meet the diverse needs of our global customer base.
Plasmatreat is the key enabler technology for microfine cleaning, surface activation and atmospheric plasma coatings (CVD) of nearly all kinds of materials – from plastics, metals and glass in a wide variety of industries including semiconductors. We prepare surfaces in an environmentally friendly way – replacing the need for chemicals and primers.
Atmospheric plasma equipment enabling state of the art surface preparation – activation, cleaning, reduction and nano-coatings for:
Flux-free Advanced Chip Packaging, Power Modules, Wire Bonding, Display Bonding, LED, PCBA and Consumer Electronics.
Area-selective, inline plasma processes in atmosphere including CVD coatings (dielectric barrier coatings, tie layers and anti-corrosion protection).
PulseForge, Inc. develops and manufactures state-of-the-art flashlamp-based tools that deliver energy in a precise and targeted manner to enable innovation in industrial manufacturing. Our expertise and tools empower our customers to explore novel materials and manufacturing methodologies, driving dynamic and efficient production at an industrial scale.
With pioneering intellectual property and global services, SHELLBACK Semiconductor Technology provides best-in-class equipment solutions, upgrades, and support to enable key markets such as Power Devices, Photonics & LED, MEMS & Sensors, Wireless & Analog, Memory/Storage, and Advanced Packaging. SHELLBACK unites industry-leading semiconductor brands SEMITOOL, FSI MERCURY/MERCURY+ & RITE TRACK, with a combined product portfolio addressing Wet Processing & Coat Develop with proven solutions.
Our best-in-class technology includes solutions to:
We provide systems, upgrades, parts and technical support for the following systems.
* denotes product line owned by SHELLBACK
Wet Clean . Etch . Strip
Carrier Cleaning
Coat / Develop
Shenzhen Naso Tech Co., Ltd. was established in 2018 and is primarily engaged in the research, development, production, and sales of high-end equipment, such as thin film deposition systems, for advanced materials fields including third-generation semiconductor silicon carbide, new photovoltaic materials, and nanomaterials. The company’s vision is to “become a global leader in manufacturing equipment for advanced materials” and it consistently adheres to independent innovation, focusing on continuous optimization and improvement in process indicators, consumable costs, and maintenance efficiency.
The company’s core team is composed of senior experts in the materials equipment field, and its self-developed third-generation semiconductor silicon carbide epitaxy equipment has been recognized on the “Science and Technology Innovation China” leading technology list. In 2020, the company was recognized as a “National High-tech Enterprise” with high growth potential. In 2023, it was awarded the “Specialized, Refined, Unique, and Innovative” SME certification by the city of Shenzhen. The company is also certified with ISO 9001 quality management system and after-sales service system.
深圳市纳设智能装备股份有限公司成立于2018年,主要从事第三代半导体碳化硅、新型光伏材料、纳米材料等先进材料领域所需的薄膜沉积设备等高端设备的研发、生产和销售。公司以“成为全球先进材料制造设备引领者”为愿景,始终坚持自主创新,专注于工艺指标、耗材成本、维护效率等方面的持续优化改进。
公司核心团队由材料设备领域资深专家组成,自有第三代半导体碳化硅外延设备研发技术荣登“科创中国”先导技术榜单。公司于2020年被评定为具有高成长性的“国家高新技术企业”,2023年荣获深圳市“专精特新”中小企业认定,也认证有ISO9001质量管理体系及售后服务体系。
Siconnex is one of the leading suppliers of wet chemistry equipment for etch, clean, and resist strip applications. The company’s main headquarters and production facilities are located in Austria, with additional sites in America, Japan, Malaysia, Singapore, and France. Overall, Siconnex operates in eight locations worldwide.
With over 20 years of experience, Siconnex has fully dedicated its efforts to perfecting batch spray technology—the core technology known as BATCHSPRAY®. This technology exemplifies our commitment to reducing environmental impact while maintaining high performance and efficiency.
We believe that sustainability and technological progress go hand in hand, and we combine them in our systems. As a hidden champion, we perform essential work for technology-leading companies and have a significant impact on microchip production worldwide.
Our systems feature a reduced footprint and are therefore chosen by our customers as a space-saving alternative. To ensure the highest possible safety for the operator, our systems are completely closed to avoid direct contact with chemicals. The combination of several processes and the optimized wafer flows is another major benefit.
Environmental protection through sustainable processes
Due to high savings in water and chemicals, our products are cost-efficient and environment friendly. Two of our greatest process advantages are shown by the following comparative examples.
METAL ETCH PROCESS
Three conventional dry etch systems can be replaced by our Acid Autoload system.
CLEAN PROCESS
A conventional cleaning system can be replaced by our Clean Autoload system.
At SK siltron css, we provide the global compound semiconductor industry with a reliable source of leading-edge, production-proven, high-crystal-quality silicon carbide (SiC) wafers and epitaxy services.
Our SiC technology and manufacturing expertise extends from crystal growth through wafer fabrication and epitaxy. We focus our R&D efforts on finding environmentally friendly solutions using renewable energy and sustainable manufacturing practices. Our latest-generation, wide-bandgap semiconductor materials are designed to help customers meet global demands for improved environmental sustainability, increased electricity demand and higher energy efficiency.
Drawing on decades of experience providing SiC materials and products, we continue to grow and double our capacity year over year. As a dedicated materials supplier, we focus on providing wafers and materials for critical compound semiconductor applications. We do not make devices or compete with our customers. We are backed by the resources and purchasing power of SK siltron.
Soitec is a world leader in the production of innovative semiconductor materials. The company leverages its unique technologies to serve the electronics markets.
In meeting the technical and economic challenges of mainstream electronics, Soitec is helping to speed up the mobile and digital revolutions. Its products are used to manufacture chips that go into smartphones, tablets, computers, IT servers and data centers as well as electronic components in cars, connected devices, and industrial and medical equipment.
With more than 4,000 patents, the company pursues a strategy of disruptive innovation to provide its customers with products that combine performance, energy efficiency and competitiveness.
Soitec is headquartered in Bernin France. The company was founded 30 years ago in Grenoble’s high-tech ecosystem and has manufacturing facilities, R&D centers and sales offices in Europe, the United States and Asia. Soitec is listed on the CAC NEXT 20, in Paris.
For more information visit: www.soitec.com.
Established in 1976, SPEA is a world leading company in the field of automatic test equipment for ICs, MEMS, sensors, electronic boards. SPEA serves the big semiconductor IDMs and OSATs with the most cost-effective and high-performance equipment to test automotive, SoCs, analog mixed-signal devices, MEMS sensors and actuators, power and discretes, identification devices, delivering highest measurement capabilities, lowest cost of test and fastest time-to-market. SPEA systems are designed to detect any possible defect in electronic products, so that they won’t fail on the field. High throughput, best detection capability, test techniques designed on the latest technologies requirements, complete configurability. For SPEA customers, testing is not an additional cost, but a tangible competitive advantage.
TPD is the premier staffing and recruiting partner specializing in the semiconductor industry. With over four decades of experience, we excel in delivering tailored workforce solutions and contract staffing services. Our proven track record stems from our unique ability to uncover exceptional talent that others overlook, making us a pivotal asset in semiconductor recruitment. TPD is renowned as the industry’s secret weapon, consistently enabling our clients to surpass their hiring goals and achieve operational excellence. Whether you’re seeking to augment your team with top-tier professionals or revolutionize your approach to talent acquisition, TPD is your trusted ally. Partner with us to gain access to unparalleled industry expertise and a network of highly skilled candidates, ensuring your success in the dynamic world of semiconductors.
TPD is your comprehensive workforce solutions expert specializing in the semiconductor industry. We offer a full spectrum of services including Contract Staffing, Contract to Hire, EOR Payrolling, and Permanent Recruitment. With over 40 years of industry leadership, we pride ourselves on delivering tailored solutions that meet the unique needs of our clients. Our commitment to creativity extends beyond service to encompass flexible pricing options, ensuring exceptional value in every partnership. Trusted by top industry leaders TPD is renowned for our ability to provide innovative workforce solutions that drive success.
Umicore Metal Deposition Solutions is a leading developer and manufacturer of ECD processes (Electroplating business line) and PVD materials (Thin Film Products business line) with headquarters in Europe and branches in the United States, Taiwan, Japan, Thailand and China.
The company has recently expanded its presence in the semiconductor market by acquiring Shinhao Materials LLC and establishing Umicore Suzhou Semiconductor Materials Co., Ltd. (USSM). This long-planned, strategic move expands Umicore’s product offering for advanced packaging and demonstrates its commitment to assume a significant long-term role in the semiconductor industry.
With a strong focus on sustainability and continuous innovation, Umicore meets the highest quality requirements and increases the yield, performance, cost efficiency and reliability of semiconductor substrates.
With Umicore, you have a competent and global service partner at your side that gives your products a clear advantage – a perfect surface.
To meet the high demands of the modern semiconductor industry, Umicore Metal Deposition Solutions offers both high-quality electroplating and PVD solutions for the advanced packaging sector.
In the field of electroplating, the focus is on the development, production and distribution of ECD solutions based on copper, nickel, tin, indium and gold, copper (II) oxide and customised anodes and cathodes for ECD tools. The modular IntraCu® additive system, which is a breakthrough in semiconductor production, deserves special mention here. This patented system ensures high-quality, cost-effective and reliable copper plating for advanced packaging.
In the area of thin film products, Umicore successfully develops, manufactures and supplies high-end evaporation materials and sputtering targets of the highest quality in the advanced packaging, compound, microsystems and silicon front-end segments. To this end, Umicore maintains specialised sites in Liechtenstein and Taiwan.
Take advanced packaging to a completely new level with Umicore.
End of content
End of content