27-28 August 2025
Suwon
CVP, Foundry Technology and Operations
Day 1 / 09:20 - 09:50
For decades, Moore’s Law has delivered the ability to integrate an exponentially increasing number of devices in the same silicon area at a roughly constant cost. This has enabled tremendous levels of integration, where the capabilities of computer systems that previously occupied entire rooms can now fit on a single integrated circuit. Although traditional scaling has slowed over the past decade, we have made tremendous progress as an industry with new approaches including chiplet-based architectures, domain-specific accelerators, and advanced packaging technologies which have enabled major milestones including the first exascale supercomputers. As we look into the future, we need to accelerate the pace of innovation to drive the next decade of advancement in high-performance computing. By far, the largest limiting factor to delivering continued compounded growth in computation power is energy efficiency. In this paper, we highlight a holistic strategy for accelerating innovation in energy efficiency required for next-generation high-performance computing and ultimately achieving zettascale performance.
Day 2 / 15:10 - 15:55
26 years of experience in the Semiconductor Industry ranging from silicon wafer R&D, process technology, circuit design and foundry technology with over 65 patents and 30 publications. He graduated from Univ. of California Berkeley with a Ph.D in Electrical Engineering and Computer Sciences in 1996. He is currently Corporate Vice President at Advanced Micro Devices overseeing Foundry technology from initial R&D through production for all AMD products.
For 50 years, AMD has driven in high-performance computing, graphics, and visualization technologies – the building blocks for gaming, immersive platforms, and the datacenter. Hundreds of millions of consumers, leading Fortune 500 businesses and cutting-edge scientific research facilities around the world rely on AMD technology daily to improve how they live, work and play. AMD employees around the world are focused on building great products that push the boundaries of what is possible. For more information about how AMD is enabling today and inspiring tomorrow, visit AMD (NASDAQ:AMD) on their website, blog, Facebook and Twitter pages.
VP, Head of Silicon, at Meta Reality Labs
Day 2 / 09:00 - 09:30
The Metaverse is the next evolution in social technologies and the successor to the mobile internet. But what is the role of the semiconductor industry in facilitating the Metaverse? And why should semiconductor companies invest in this field? What new experiences and value will users get from such investments? In this talk, we will discuss these questions and a point of view on the answers. We will explore some key enabling technologies for Augmented Reality and Virtual Reality. These technologies will actualize the Metaverse vision and will usher in a new paradigm of human-computer interaction. We believe these technologies will also fuel the next two decades of semiconductor innovation.
Dr. Shacham is Vice President, Head of Silicon, at Meta Reality Labs. He takes pride in building a vertically integrated Silicon organization from the ground up. Shacham works to constantly redefine what is possible with custom silicon, and in turn how humans and computers interact. To co-design hardware-software systems in order to give the world seamlessly blended virtual and real experiences.
Before Meta, Shacham worked for Google leading Silicon Design and Implementation for Consumer Hardware. Shacham initiated and led Google’s Pixel Visual Core & Pixel Neural Core, from idea to deployment on all Pixel Phones. Shacham also led some generations of Titan security chip, the early phases of the Pixel 6 Tensor Chip, and more.
Shacham joined Google as part of Google’s acquisition of Chip Genesis–a company he co-founded as a spin-out of the research he led at Stanford. Before Stanford Shacham worked for IBM R&D labs in Israel. Shacham also served in an elite Israeli Navy Unit.
Ofer Shacham holds a BSc degree from Tel Aviv University, and MSc and PhD degrees from Stanford University where he also served as academic staff. Dr. Shacham is a highly cited author for dozens of patents and scientific papers. Areas of interest are research, development and productization of outstanding research where hardware and software meet under strict power, form factor, and performance requirements.
Meta builds technologies that help people connect, find communities, and grow businesses. When Facebook launched in 2004, it changed the way people connect. Apps like Messenger, Instagram and WhatsApp further empowered billions around the world. Now, Meta is moving beyond 2D screens toward immersive experiences like augmented and virtual reality to help build the next evolution in social technology.
Senior Director, Corporate Strategy & Strategic Technology
Day 2 / 13:10 - 13:30
AI technology has made great progress recently. The number of parameters of the neural network has rapidly increased to the “pre-trillion-scale” level. With huge amount of computing, large-scale neural networks have shown “Emergent Abilities” in various AI functions. The growth rate of AI computing is far exceeding that of Moore’s Law for semiconductors. The huge amount of AI computing demand also brings new opportunities for semiconductor, and opens the door for a new golden age of AI and IC.
Dr. Bor-Sung Liang is currently a Senior Director of Strategic Technology Exploration Platform at MediaTek, Hsinchu Science Park, Taiwan, and concurrently serving as a Visiting Professor at Department of Computer Science and Information Engineering, EECS and GSAT in National Taiwan University, as well as a Professor Ranked Specialist at Institute of AI Innovation, IAIS in National Yang Ming Chiao Tung University. He received his Ph.D degree from Institute of Electronics, National Chiao Tung University, and graduated from EMBA, College of Management, National Taiwan University.
Dr. Liang has received several important awards, such as Ten Outstanding Young Persons, Taiwan, R.O.C., National Invention and Creation Award for three times on Invention (one Gold Medal and two Silver Medals) from Intellectual Property Bureau of the Ministry of Economic Affairs, Taiwan, Outstanding Youth Innovation Award of Industrial Technology Development Award from Department of Industrial Technology of the Ministry of Economic Affairs, Taiwan, Outstanding ICT Elite Award of ICT Month, R.O.C., and K. T. Li Young Researcher Award from Institute of Information & Computing Machinery and ACM Taipei/Taiwan Chapter. He is also the major inventor of more than 80 patents worldwide.
MediaTek Incorporated (TWSE: 2454) is a global fabless semiconductor company that enables 2 billion connected devices a year. We are a market leader in developing innovative systems-on-chip (SoC) for mobile device, home entertainment, connectivity and IoT products. Our dedication to innovation has positioned us as a driving market force in several key technology areas, including highly power-efficient mobile technologies, automotive solutions and a broad range of advanced multimedia products such as smartphones, tablets, digital televisions, 5G, Voice Assistant Devices (VAD) and wearables. MediaTek empowers and inspires people to expand their horizons and achieve their goals through smart technology, more easily and efficiently than ever before. We work with the brands you love to make great technology accessible to everyone, and it drives everything we do.
Visit www.mediatek.com for more information.
Telephone: +886-3-567-0766 ( more sites )
Email: https://corp.mediatek.com/about/contact-us
CVP Advanced Memory Systems
Day 1 / 09:50 - 10:20
Over the last several decades, systems have focused on innovation in the logic and have strayed away from ‘balanced’ machines. As a result, a significant
number of applications have been unable to leverage the additional computational power of the latest generation machines . The machine architectures need to evolve: new systems architectures and innovations require a deep understanding of the applications. Memory will be the ‘cornerstone’ of future innovative systems, which will generate a faster time to solution in a much more energy constrained envelope.
Steve Pawlowski is corporate vice president of advanced computing solutions at Micron Technology. He is responsible for defining and developing innovative memory solutions for the enterprise and high-performance computing markets.
Prior to joining Micron in July 2014, Steve was a senior fellow and the chief technology officer for Intel’s Data Center and Connected Systems Group. His extensive industry experience includes 31 years at Intel, where he held several high-level positions and led teams in the design and development of next-generation system architectures and computing platforms.
Steve earned bachelor’s degrees in electrical engineering and computer systems engineering technology from the Oregon Institute of Technology and a master’s degree in computer science and engineering from the Oregon Graduate Institute. He also holds 58 patents.
Micron is a world leader in innovative memory solutions that transform how the world uses information. For over 40 years, our company has been instrumental to the world’s most significant technology advancements, delivering optimal memory and storage systems for a broad range of applications.
CVP Business Development Team, AVP
Day 1 / 11:50 - 12:20
Today’s era of smartphones, 5G, AI and big data calls for increasingly faster speeds of computing performance. However, the speed of semiconductor innovation and technology advancement has slowed down, and chip miniaturization has reached physical limits, which has caused the speed at which transistors are growing smaller to slow down. In other words, we are now falling behind Moore’s Law.
Advances in heterogeneous chip packages are need to empower today is the device manufacturers to pursue tomorrow’s breakthrough. Both 2.5D and 3D will be needed to keep innovation vibrant also higher bandwidths and density solution is important for HPC and AI systems. So memory coherency and low latency attributes across converged compute infrastructures with interconnect technologies including UCIe.
In this paper, advanced package solutions are to be introduced and discussed in terms of challenges and opportunities for emerging high end computing, memory and mobile platforms.
Dr. YOON is currently working as Corporate VP/Head of Group, PKG group, Product Technology, S.LSI, Samsung Electronics. Prior to joining Samsung, He was director of group technology strategy, STATS ChipPAC, JCET Group. He also worked deputy lab director of MMC (Microsystem, Module and Components) lab, IME (Institute of Microelectronics), A*STAR, Singapore. ”YOON” received Ph.D degree in Materials Science and Engineering from KAIST, Korea. He also holds MBA degree from Nanyang Business School, Singapore. He has over 300 journal papers, conference papers and trade journal papers, and over 20 US patents on microelectronic materials and electronic packaging. Served as technical committee member of various international packaging technology conferences, EPTC, ESTC, iMAPS, IWLPC and SEMI.
Samsung Electronics Co., Ltd. engages in the manufacturing and selling of electronics and computer peripherals. The company operates through following business divisions: Consumer Electronics, Information Technology & Mobile Communications and Device Solutions. The Consumer Electronics business division provides cable television, monitor, printer, air-conditioners, refrigerators, washing machines and medical devices. The Information Technology & Mobile Communications business division offers handheld products, communication systems, computers and digital cameras. The Device Solutions business division comprises of memory, system large scale integrated circuit and foundry. The company was founded on January 13, 1969 and is headquartered in Suwon, South Korea.
Senior Director, Advanced Packaging Technology and Service
Day 1 / 11:20 - 11:50
With the development of 3DIC and associated packaging technologies, semiconductor industry has extended performance and density optimization to system level, complementary to traditional chip scaling. Amid broader adoption of TSMC’s advanced 2.5D/ 3D packaging solutions along with growing chiplet complexity and form factor, the interaction between Si, packaging and components become increasingly crucial and requires continue innovations on design, process development and manufacturing.
With 3DFabric Alliance, we are extending OIP collaboration to packaging/ testing and working with industry partners on substrate and memory technology development for integrated system-level design solution to customers, together with the ecosystem of OSATs, material and equipment suppliers. In parallel, we also establish the worldwide first fully automated factory to offer best flexibility for our customers to optimize their packaging solution with better cycle time and quality control.
Kam currently serves as Senior Director at TSMC Advanced Packaging Technology and Service, which he joined in 2022. He specifically manages the TSMC Testing RD, Testing operations and backend turnkey operations. He has extensive experience in semiconductor industry, having worked 27 years at Intel, in various roles in technology development, product development and high volume manufacturing. He previously held the role of Vice President of Intel product development and engineering.
TSMC pioneered the pure-play foundry business model when it was founded in 1987, and has been the world’s leading dedicated semiconductor foundry ever since. The Company supports a thriving ecosystem of global customers and partners with the industry’s leading process technologies and portfolio of design enablement solutions to unleash innovation for the global semiconductor industry. With global operations spanning Asia, Europe, and North America, TSMC serves as a committed corporate citizen around the world.
TSMC deployed 288 distinct process technologies, and manufactured 11,878 products for 522 customers in 2024 by providing the broadest range of advanced, specialty and advanced packaging technology services. The Company is headquartered in Hsinchu, Taiwan. For more information please visit https://www.tsmc.com.
VP, Technology and IP Licensing
Day 1 / 14:05 - 14:15
Hybrid bonding allows semiconductor wafers or individual die to be bonded with exceptionally fine-pitch (scalable to 1 micron) 3D electrical interconnects at low temperature without pressure or adhesives. Hybrid bonding was invented by a company called Ziptronix which was later acquired by Adeia (formally known as Xperi) in 2015. Since then, Adeia has continued to invest heavily in hybrid bonding R&D and supply chain enablement for production worthy wafer-to-wafer and die-to-wafer hybrid bonding processes. Adeia, a pioneer in hybrid bonding, has licensed numerous semiconductor companies to the bonding portfolio including Sony, SK hynix, Samsung, Micron, Kioxia, Western Digital, Qorvo, Canon, LAPIS, and UMC. Several of these companies engaged in a technology transfer program as well. Hybrid bonding technology was commercially adopted in stacked BSI image sensors, stacked 3D NAND memories, logic processors, and is anticipated to be adopted soon in HBM and RF front-end devices. In logic applications, a large die can be disaggregated into separate functions such as cache memory and processor. After disaggregation, the functional chiplets can be bonded on top of a core processor die to create a fully functional circuit. The hybrid bonding technology is a must-have tool to provide a multi-generational roadmap of products in high performance computing devices such as CPU, GPU, FPGA, SoC, other logic, memories, and 3D chiplet integrations.
Abul Nuruzzaman is VP of Semiconductor Technology and IP Licensing at Adeia, Inc. (formally known as Xperi), San Jose, California. Prior licensing role, he led the marketing of Adeia’s hybrid bonding technology and semiconductor IP portfolio. Throughout his successful semiconductor industry career, Abul worked in product management, marketing and business development roles at AMD, Infineon (Cypress Semiconductor), TE Connectivity and Lattice Semiconductor. He holds BSEE degree from Osaka University, Japan, and MSEE degree from the University of California, Los Angeles (UCLA).
Adeia invents, develops and licenses fundamental innovations that shape the way millions of people explore and experience entertainment and enhance billions of devices in an increasingly connected world. Leveraging the combination of highly experienced technologists, scientists, engineers and advanced R&D labs in San Jose, California and Raleigh, North Carolina, Adeia develops industry-leading 3D integration solutions such as hybrid bonding that meet the demand for greater functionality, higher performance and smaller size for next generation electronics.
VP & GM, Advantest Cloud Solutions
Day 1 / 13:45 - 14:05
This keynote will explore the impact of deep learning including large language models on the semiconductor test. We will highlight the opportunities these models present for real-time data processing and discovery of insights, with specific applications in computer vision and natural language processing. However, the use of these models also introduces new security risks, particularly regarding the use of cloud infrastructure for collection of data, training and inference. By examining past attacks on networks, we will discuss the potential for malicious actors to steal data or intellectual property from companies. Attendees will gain an understanding of the opportunities and challenges in AI and security for the coming years and the importance of considering security measures in the development and deployment of these advanced solutions.
Michael Chang is the Vice President and General Manager of Advantest Cloud Solutions (ACS), a strategic business unit within Advantest Corporation to enable customers in the Advantest value chain to deliver improved yield, quality, and time to volume / market. Michael boasts 25 years of diverse experience leading product innovations and business growth across AI/Machine Learning, Cloud Datacenter and Semiconductor spaces. Before joining Advantest, Michael was the General Manager of AI Solutions business at Supermicro Computer. Prior to Supermicro, he was the Co-founder of a deep learning startup company. He has also held multiple leadership roles at several IC companies including LSI, Marvell, and Vitesse semiconductor. Michael holds an MBA degree from Haas Business School at UC Berkeley, a bachelor degree in Electronics and Communications Engineering from the National Chiao Tung University and has completed the Executive Leadership Program from Stanford’s Graduate School of Business.
Advantest (TSE: 6857) is the leading manufacturer of automatic test and measurement equipment used in the design and production of semiconductors for applications including 5G communications, the Internet of Things (IoT), autonomous vehicles, artificial intelligence (AI), machine learning, smart medical devices and more. Its leading-edge systems and products are integrated into the most advanced semiconductor production lines in the world. The company also conducts R&D to address emerging testing challenges and applications, produces multi-vision metrology scanning electron microscopes essential to photomask manufacturing, and offers groundbreaking 3D imaging and analysis tools. Founded in Tokyo in 1954, Advantest is a global company with facilities around the world and an international commitment to sustainable practices and social responsibility. More information is available at www.advantest.com.
Advantest’s core product line, semiconductor test equipment, is used by IC manufacturers to test their semiconductors with high accuracy and efficiency, ensuring that they operate properly and meet performance and reliability requirements. The company uniquely provides one-stop shopping for the test cell, which includes test systems, test handlers, and device interfaces which are essential to semiconductor package test. In addition, Advantest Test Solutions offers a series of SLT and Burn-In solutions that can span from high mix/low volume applications to those that have the volume to require fully automated solutions, while Advantest’s SSD Test Systems allow customers to grow their product portfolios while remaining adaptable to the changing needs of the SSD market. The newly introduced Advantest Cloud Solutions™ (ACS) is an ecosystem of cloud-based products and technologies based on a single scalable data platform that allows customers to accomplish intelligent data-driven workflows. Advantest supports globally distributed semiconductor supply chains from locations around the world.
Sector VP
Day 1 / 16:20 - 16:30
For years, the logistics industry has been challenged by its reliance on manual processes from order placement and tracking to delivery.Recent global disruptions such as COVID-19 and labor strikes have made shipping more and more difficult, but Airspace excels in the most challenging circumstances.You cannot rely on traditional logistics anymore.Airspace has built a shipping platform that’s powered by proprietary AI technology. With mobile and desktop functionality, Airspace has offered major companies in the semiconductor industry the most reliable shipping options and full visibility along the way. By calculating millions of routes for you in seconds, your most critical shipments can get there faster and more efficiently than you ever thought possible.
Aziza Dada is a logistics professional with 15 years experience in International supply chain and time critical logistics. She has extensive knowledge of the global semiconductor industry. Her goal is to be result-oriented with a focus on continuous process improvement and high quality service. Aziza keeps close track of changes to regulations by country as wells processes involved in international supply chain and transportation. Welcome to the future of Logistics with Airspace. Let her show you how how AI and modern technology will improve your most critical deliveries.
Whether your production line is facing a shutdown, or your high-value equipment is waiting for a new component, you can’t afford a shipping delay. From life-saving organs to essential machinery components, Airspace is trusted by the world’s largest companies and most critical organizations to move their top time-sensitive shipments on time, every time.
Airspace’s proprietary AI-powered platform is the most advanced of its kind- awarded and protected by multiple patents, it provides speed, reliability, routing, tracking visibility and transparency unrivaled in time-critical logistics. It powers a 24/7/365 pro-active expert support team that understands the needs of vertical specific shipments such as those in the semiconductor business.
With offices in the United States in Southern California, Dallas, and in Europe in Amsterdam and new offices in Frankfurt, Stockholm, and Paris, London, Porto, Airspace is rapidly scaling into new markets and industries while continuing to innovate and maximize value for its customers. Backed by leading investors including Telstra, HarbourVest, Prologis, Qualcomm, Defy, and others, Airspace has raised $70M to date.
Whether your production line is facing a shutdown, or your high-value equipment is waiting for a new component, you can’t afford a shipping delay.
Airspace’s proprietary AI-powered platform is the most advanced of its kind- awarded and protected by multiple patents, it provides speed, reliability, routing and transparency unrivaled in time-critical logistics. It powers a 24/7/365 pro-active expert team that understands the specific needs of shipments such as those in the semiconductor business.
From NFO, to OBC, dedicated drives, charters and more, the Airspace technology will calculate the best routing for you, taking your specific requirements into consideration as well as automating the process to save your team valuable time.
Your supply chain is complicated — we make it easy for you.
SVP, Greater China Sales & Marketing
Day 1 / 14:40 - 15:20
Walter joined Amkor in 2020 and currently serves as Senior Vice President of Sales & Marketing for Greater China. Before Amkor, he spent 17 years at Cree, Inc., where he was Vice President of Global LED Chips Marketing and LED Component Marketing for Asia. He also represented Cree as a Board member at Lextar. Walter’s career includes 6 years at an IC design house, bringing his total semiconductor industry experience to 28 years, primarily in Sales & Marketing. He holds a Master’s degree in Engineering Business Management from the University of Warwick, UK.
Amkor Technology, Inc. is the world’s largest US headquartered OSAT (outsourced semiconductor assembly and test). Since its founding in 1968, Amkor has pioneered the outsourcing of IC packaging and test services and is a strategic manufacturing partner for the world’s leading semiconductor companies, foundries, and electronics OEMs. Amkor provides turnkey services for the communication, automotive and industrial, computing, and consumer industries, including but not limited to smartphones, electric vehicles, data centers, artificial intelligence and wearables. Amkor’s operational base includes production facilities, product development centers and sales and support offices located in key electronics manufacturing regions in Asia, Europe and the United States. Learn more at https://amkor.com
Global Packaging Account TD Head
Day 2 / 15:10 - 15:55
Experience:
We are the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations Make Possible® a Better Future.
Corporate VP RD
Day 1 / 12:20 - 12:40
Heterogeneous Integration (HI) is now one of key semiconductor worldwide trends and developing important impact and increasing influence to chip designer, Fab, OSAT, OEM/ODM and entire supply-chain enablers. The view on impact and influence can be pretty different to mobile, IoT, HPC, and automotive applications’ system developers based on various HI solutions. This presentation will discuss the HI packaging as a new enabling energy for semiconductor migration.
Dr. C.P. Hung currently holds the position of Vice President, Corporate R&D, at ASE Group. Based in Taiwan, he leads teams responsible for next-generation product development featuring integrated technologies, as well as a broad range of advanced chip, package, and system integration solutions with multiple ASE and USI Sites.
During his tenure, Dr. Hung has performed a variety of management roles at ASE, including VP of Corporate Design, VP of Central Engineering & Business Development and VP of Logistic Services Integration. He holds 180 patents encompassing IC packaging structure, process, substrate and characterization technology. He has also published over 104 conference and journal papers.
Dr. Hung is a board of governor of IEEE EPS since 2019. He has being the SEMICON Taiwan PKG & TEST Committee Chair since 2013, and currently Co-Chair since 2021.
ASE is the leading global provider of semiconductor manufacturing services in assembly and test. With a proven track record spanning almost 40 years, ASE today is at the forefront of flexible, powerful, integration technologies that achieve criteria for improved power, performance, area, and cost requirements. Our comprehensive toolbox leveraging innovative technologies, such as die interconnection, wafer level fan out, embedded devices, conformal and compartmental shielding, integrated antenna, and others, are being refined and enhanced to support future generations of system integration. Heterogenous Integration through SiP is enabling significant innovation across dynamic application areas including AI, 5G, automotive, mobile, IoT and more. Our industry is driven by innovation, and through ASE’s miniaturization technologies, we are enabling transformative solutions that are literally changing lives, from health to transportation, from Robotics to AI, from IoT to 5G.
Website: ase.aseglobal.com
APT Business Lead,VP of Business Development APT ASMPT
Day 1 / 16:45 - 16:55
“More Than Moore” with heterogeneous integrated Chiplets in different Advanced Packaging Architectures are continuously being developed in our Industry.
Along the development of these Advanced Packaging Architectures with the objectives of delivering the same or even better device performance as if it is in System On Chip (SOC), while at the same time of achieving the best cost of making, it brings challenges to advanced packaging engineer. ASMPT, being the total interconnect solution provider, has determined to collaborate with our customers and partners to overcome these challenges and offer the best-in-class interconnect equipment solutions to address their needs in a timely manner.
ASMPT is aiming to be a Total Interconnect Solution supplier establishing the widest product portfolio in Advanced Packaging Technology, from with thin film interconnect, to first level (die to wafer & substrate) as well as broad level interconnect (package to PCB). I am happy to share more with this presentation.
ASMPT, founded in 1975, is headquartered in Singapore and is listed in Hong Kong Stock Exchange since 1989.
ASMPT is the only company in the world that offers high-quality equipment for all major steps in the electronics manufacturing process – from carrier for chip interconnection to chip assembly and packaging to SMT. No other supplier offers a comparable range and depth of process expertise.
Semiconductor Solutions Segment Business of ASMPT offers a diverse product range from bonding to molding and trim & form to the integration of these activities into complete in-line systems for the microelectronics, semiconductor, camera modules, advanced packaging, photonics, and optoelectronics industries.
The group has successfully established itself as the leading player in the back-end assembly and packaging market with its innovative solutions and constant focus on customer value creation.
COO
Day 2 / 09:50 - 10:10
This speech will introduce a cutting-edge technology that enables the creation of a live, 3D photorealistic Metaverse using AI, 3D modeling, and panoramic image stitching technologies. A photorealistic digital twin is a virtual replica of a physical object or space, created using 3D modeling, panoramic image stitching, and AI technologies. Some of the areas where photorealistic digital twins can have a significant impact is in smart factories and cities. By creating a digital twin of a factory or a city, we can visually simulate and optimize various processes, reducing costs and improving efficiency. One specific application in a smart factory is virtual patrolling. To create a virtual environment where personnel can patrol and control the factory remotely, we can reduce the need for on-site activities, Further examining this application, we can better understand the potential of a photorealistic digital twin in the Metaverse, and the role it will play in shaping the future of virtual but immersive experiences. Overall, this speech will demonstrate how AI, 3D modeling and panoramic image stitching technologies can be combined to create a LIVE 3D photorealistic Metaverse world, and its potential applications in various areas.
Experiences:
Education:
Founded in 2004, ASPEED Technology Inc. is a leading fabless IC design company headquartered in Hsinchu, Taiwan. With a focus on niche markets, ASPEED specializes in Cloud & Enterprise Solutions, including Baseboard Management Controller (BMC) SoC, Bridge IC, and PFR SoC, and Smart AV Solutions, including AVoIP SoC, Cupola360 spherical image stitching processor and Cupola360+
Software Kit.
ASPEED is devoted to developing innovative technologies in order to quickly respond to customer needs. In 2016, ASPEED acquired Broadcom’s Emulex Pilot™ remote server management chip business and it’s currently the world’s No. 1 BMC SoC provider. Also, ASPEED expanded its product portfolio by launching Cupola360 spherical image stitching processor and Cupola360+ software solutions in 2018.
Recognized as a trusted and reliable partner for customers, ASPEED has been awarded “Forbes Asia’s 200 Best Under a Billion” for nine consecutive years since 2014. The company was also recognized as “Taiwan Best-in-Class 100” by Taiwan Institute of Directors and CDRC Consulting Group in 2022.
Fore more information, please visit https://www.aspeedtech.com/ and https://cupola360.com/.
Global Product Director SC/FEC
Day 1 / 16:55 - 17:05
In our digital-driven society the increasing importance of information, automation and hence data processing requires the next generation of faster and smaller semiconductor devices. Metallization processes play a crucial role in enabling the newest interconnects and are hence of high importance for further technological advances. Requirements such as reliability, functionality and downsizing are thus common targets of semiconductor devices as well as plating processes.
We will present some of MKS Atotech’s new solutions for advanced packaging, such as Cu-to-Cu direct bonding as well as new processes for SnAg solder bump plating. Additionally, we will give insights into our offerings for highly reliable Si- and SiC-based Power Semiconductors.
Christian finished his PhD in chemistry and joined MKS Atotech in 2010. After holding various management positions, he was appointed Head of R&D in 2013 for the company’s electrolytic copper plating activities, mainly focusing on plating of various metals in different equipment tool sets (horizontal and vertical).
Christian Ohde is leading MKS Atotech’s global Semiconductor and Functional Electronics Coatings activities. He is a reputable industry expert and thought-leader in this field.
Atotech, a brand within the Materials Solutions Division of MKS Instruments, develops leading process and manufacturing technologies for advanced surface modification, electroless and electrolytic plating, and surface finishing. Applying a comprehensive systems-and-solutions approach, Atotech’s portfolio includes chemistry, equipment, software, and services for innovative and high-technology applications. These solutions are used in a wide variety of end-markets, including datacenter, consumer electronics and communications infrastructure, as well as in numerous industrial and consumer applications such as automotive, heavy machinery, and household appliances. With its well-established innovative strength and industry-leading global TechCenter network, MKS delivers pioneering solutions through its Atotech brand – combined with unparalleled on-site support for customers worldwide. For more information about Atotech, please visit us at atotech.com
CTO & Managing Director
Day 1 / 14:40 - 15:20
Education
Professional Experience:
Since 1991 Cyntec’s team of scientists and engineers have been known to lead the way in the research and development of the miniaturized and highly integrated products and solutions. The product lines consist of magnetic components, passive components, power modules, RF & optical modules which serve the client, cloud computing equipment, automotive, IOT, industry, and other market segments.
Using our insight into market trends and the in depth knowledge of electronic materials and processes, we have been able to produce a wide variety of products with the highest levels of performance, high power handling, high density packaging and tight accuracy.
Director Monolithic and Heterogeneous Integration Department
Day 2 / 13:50 - 14:10
Artificial intelligence (AI) has rapidly become an integral part of our lives, bringing convenience and efficiency to various aspects of work and daily life. This speech will explore the latest AI applications and examine the opportunities they offer. However, as we embrace the benefits of AI, we must also be aware of the potential challenges it poses. We will discuss the risks of workforce displacement, bias, and privacy infringement that come with AI deployment. Additionally, we will examine the environmental impact of AI, with a focus on the energy consumption of model training. We will discuss how the rapid growth of AI model parameters leads to the crossing of energy production and consumption curves, and suggest potential solutions to mitigate the issue. Ultimately, this speech will provide a comprehensive overview of the current state of AI, its opportunities, and its challenges, and offer guidance on how we can navigate this transformative technology.
Dr. Justin Chueh is the Director of the Monolithic and Heterogeneous Integration Department at Etron Technology, and he holds a Ph.D. in Electrical Engineering and Computer Science from the University of Michigan, Ann Arbor. His research focused on low power circuit design and resonant clock generation and distribution, which led to the granting of four US patents that were later utilized in CPU products by AMD.
Dr. Chueh has extensive experience in the semiconductor industry, having previously worked for AMD and TSMC before joining Etron Technology in 2019. During his time at AMD, he led the exploration of new standard cell architecture, managed the Memory Compiler Team, and contributed to the development of CPUs and GPUs. At TSMC, he incubated new technology platforms for Lidar, ADAS, and mmWave applications, overseeing product development from definition to mass production.
Dr. Chueh has authored papers published in prestigious conferences and journals and has been granted eleven patents, with more pending. He currently serves on the Memory TPC at ISSCC and also served on the Memory TPC at A-SSCC 2022.
Etron Technology Inc. (TPEx: 5351.TW) is a world renowned fabless IC design and product company founded by Dr. Nicky Lu in the Hsinchu Science Park (February 1991). The company specializes in the production and research of buffer memory, logic chip designs, electronic applications, and system-on-chips.
Commercial DRAM, Industrial DRAM, Automotive DRAM, Known Good Die,Innovative DRAM,Flash, USB, 3D Sensing Chips
CMO
Day 1 / 17:15 - 17:20
HPC (High Performance Computing) is a powerful tool that can be applied to solve intricate problems and overcome obstacles in diverse areas such as life science , environmental sciences, industrial and finance. To achieve high computing power, cutting-edge system-on-chips (SOCs) or next generation advanced packaging technologies are utilized to integrate processors, memory, and storage either on a die or package level. However, a critical hurdle in this process is effectively managing heat to preserve computing performance and power efficiency. In this context, we will discuss the challenges and present latest progress in thin film deposition of a solderable layer stack deposition on the wafer backside (BSM) to allow a perfect, reliable connection to the thermal interface material (TIM) foil. This back side metal (BSM) layer stack has not only to meet excellent adhesion and solderability, but also minimum film stress requirements and compatibility to tight process temperature restrictions of molded substrates.
After completing his first degree in applied sciences, Ralph completed his MBA in 2006 and gained over 20 years experience in the semiconductor equipment business across roles in engineering, product management and global business & sales management. He joining Evatec in 2019 as Head of BU Advanced Packaging leveraging his expertise across thin film technology, lithography and plating. At the end of 2023 he became Evatec CMO responsible for the team at Evatec headquarters driving business across all Evatec markets including packaging , semiconductor, optoelectronics and photonics. Today he also leads its global sales and service teams.
Evatec delivers complete thin film deposition solutions in Advanced Packaging, Semiconductor, Optoelectronics and Photonics – from UBM /RDL processes in FOWLP and FOPLP applications, to deposition of high performance piezoelectrics like AlScN for 5G networks or NIR bandpass filters for 3D sensing, face and gesture recognition in our smart devices. We deliver tailored production solutions with batch, cluster or inline architecture according to your substrate format, throughput, process and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in deposition through ‘in situ” capability for control of film properties during the deposition cycle. Reduce your process development times, enhance repeatability and yields or increase tool throughput.
Consultant
Day 1 / 14:40 - 15:20
Mr. Chuang received his M.S. degrees in Electrical Engineering of National Tsinghua University. After graduated, he joined UMC R&D to start his professional career. Starting from R&D to give him sold knowledge in wafer manufacturing. Technical Marketing let him connect wafer manufacturing and product application jointly. Gradually involving sales and expat to Europe to manage key customers. Completely training to make him realize wafer business and supply chain. After UMC, he joined EDA vendor, Synopsys. This experience helped him connecting chip design to wafer manufacturing. After that, he joined CSMC in China as VP of marketing and sales. He led company to have creative ideas to customize BCD processes targeting to specific application and won the China market, such as Class A/B, Class D, Charger, PMIC, LED display, and LED lighting. In 2013, he joined Episil, started business in compound semiconductor (SiC and GaN-on-Si). He is the one who run both SiC and GaN-on-Si at the same, and delivered supreme manufacturing cycletime, production yield to customers. He started new career in GaN Systems from 2022. GaN Systems is a trusted company which can deliver GaN devices to Automotive and High Rel application. GaN Systems enables power conversion companies to revolutionize their industries and transform the world.
GM & VP Global Operations
Day 1 / 14:15 - 14:35
Driven by evolving consumer preferences and regulatory pressure, it’s a consensus that the adoption of electric vehicles will continue its sharp growth trajectory in the coming years. Leading automakers and suppliers have announced rigorous investments and visionary plans to meet the booming demand. Driven by the need for longer ranges and more efficient charging capacity, EV power electronics undergo a paradigm shift toward wide bandgap semiconductors. With mature manufacturing capacity and proven reliability, GaN is changing the game for 400V and 800V EV applications by delivering unprecedented benefits in power density and even BoM cost with superior switching frequency and loss performance.
In addition, electric micro-mobility is gaining traction worldwide as it provides a greener, less expensive, and more flexible last-mile transportation option in urban areas. For applications where small size is critical, GaN has turned a new page for power electronics in two-wheeler, three-wheeler, and microcar applications.
Stephen Coates, General Manager (Asia) and VP of Global Operation of GaN Systems will address how GaN is widening its applications and making disruptive innovations in the future of mobility.
Day 1 / 14:40 - 15:20
Stephen has previously held executive level engineering & operations roles in silicon & compound semiconductor & displays technology companies including VP Operations at DigiLens, VP Operations at Symmorphix Thin Films Inc, VP Operations at Fultec Semiconductor Inc/Bourns & VP Production Engineering at MaxQ Technology heading up power module design & manufacture. A seasoned technology executive with 30 years’ experience in engineering, manufacturing operations, supply chain management and quality. Stephen has substantial experience in establishing and developing strategic supply chain relationships to support full product commercialization and high volume manufacturing, while also being an expert in device packaging, process development and integration. Stephen also previously held lead assessor qualifications for 3rd party audit to ISO 9000 & equivalent standards (eg TS16949/AS9100).
VP Asia Technology
Day 2 / 15:10 - 15:55
Bruce Lu joined Goldman Sachs in 2018 as a Vice President and works in the Global Investment Research team where he is responsible for covering Asia technology stocks. He previously worked at Barclays, HSBC and CLSA, with a combined experience of 17 years in equity research covering semiconductor industry. Prior to equity research, Bruce worked at UMC, Applied Materials and JAFCO Asia as a senior investment manager. Bruce has a Master’s degree in Mechanical Engineering from Cornell University.
The Goldman Sachs Group, Inc. is a leading global financial institution that delivers a broad range of financial services to a large and diversified client base that includes corporations, financial institutions, governments and individuals.
Founded in 1869, the firm is headquartered in New York and maintains offices in all major financial centers around the world.
Deputy General Director, Electronic & Optoelectronic System Research Laboratories (EOSL)
Day 1 / 14:40 - 15:20
Dr. Lo received his Ph.D. from National Taiwan University and joined Industrial Technology Research Institute to work in advanced electronic packaging, such as WLP, 3D IC/3D stacking, fan-out, heterogeneous integration technology for more than 20 years, 85 papers and 40 patent granted. Currently, he also serves as TWG chair of IoT Chapter of IEEE Heterogenous Integration Roadmap(HIR) and chairman of International Microelectronics Assembly and Packaging Society (IMAPS)-Taiwan chapter.
ITRI is a world-leading applied technology research institute with more than 6,000 outstanding employees. Its mission is to drive industrial development, create economic value, and enhance social well-being through technology R&D. Founded in 1973, it pioneered in IC development and started to nurture new tech ventures and deliver its R&D results to industries. ITRI has set up and incubated companies such as TSMC, UMC, Taiwan Mask Corp., Epistar Corp., Mirle Automation.
CTO of Electronic and Optoelectronic System Research Laborations (EOSL)
Day 2 / 08:40 - 09:00
Recent advancements in Large Language Models (LLMs) and Generative Artificial Intelligence (Generative AI) have presented an exciting opportunity for developers, as exemplified by ChatGPT, which can mimic human dialogue and decision-making. However, the tremendous computing power and energy consumption required by the hardware implementing these models pose a considerable cost and challenge, due to a huge number of parameters and complex neural network architecture.
This talk will explore the potential of designing more efficient hardware for deployment and inference of large language models, from an integrated circuit (IC) hardware perspective. Possible methods of AI model compression, such as quantization, pruning or knowledge distillation will be covered as well as AI chip design based on Computing-in-Memory (CIM), Deep-Learning Accelerator (DLA) and Chiplets. This talk will also discuss the hardware and software co-design methodology and tools needed for improving the design quality and productivity.
Ming-Der Shieh received the B.S. degree in electrical engineering from National Cheng Kung University, Taiwan, in 1984, the M.S. degree in electronic engineering from National Chiao Tung University, Taiwan, in 1986, and the Ph.D. degree in electrical engineering from Michigan State University, East Lansing, in 1993. From 1988 to 1989, he was an engineer at United Microelectronic Corporation, Taiwan. After receiving the Ph.D. degree, he joined the Department of Electronic Engineering, National Yunlin University of Science & Technology (NYUST). Since 2002, he has been with the Department of Electrical Engineering, National Cheng Kung University (NCKU), where he is currently a professor. His research interests include VLSI design and testing, VLSI for signal processing, and digital communication.
Dr. Shieh was the Chairman of EE Department at NYUST (1999-2002) and NCKU (2014-2017), and Dean of Miin Wu School of Computing at NCKU from 2021-2022. He also served as the Deputy General Director of Information and Communications Research Laboratories (ICL) at Industrial Technology Research Institute (ITRI) from 2010 to 2014, and was an Adjunct Research Fellow at the Office of Science and Technology, Executive Yuan, Taiwan from 2017 to 2019. In addition, he served as the Chairman of Taiwan IC Design Society (TICD) (2016-2018), Associated Editor of IEEE Transactions on Circuits and Systems – Part I (2010~2012), and General Chair/Program Chair/Technical Committee Member of several international conferences. Currently, as the Chief Technology Officer of Electronic and Optoelectronic System Research Laboratories (EOSL) at ITRI, he is responsible for strategic planning and cross-disciplinary collaboration. He is in charge of the IC research and development in EOSL, particularly in the area of AI chip design from different aspects, heterogeneous chiplet design and integration, and automotive IC designs.
ITRI is a world-leading applied technology research institute with more than 6,000 outstanding employees. Its mission is to drive industrial development, create economic value, and enhance social well-being through technology R&D. Founded in 1973, it pioneered in IC development and started to nurture new tech ventures and deliver its R&D results to industries. ITRI has set up and incubated companies such as TSMC, UMC, Taiwan Mask Corp., Epistar Corp., Mirle Automation.
Consulting Director, Industry, Science and Technology International Strategy Center (ISTI)
Day 2 / 15:10 - 15:55
Ray Yang is consulting director at Industry, Science and Technology International Strategy Center of ITRI (Industrial Technology Research Institute), which is one of influential think tanks in Taiwan. Ray is currently also appointed by ITRI HQ as BD director at Office of Business Development. Ray is responsible for emerging technology and market dynamics research especially about semiconductors as well as industry-related geo-political issues.
Ray has more than 30 years’ experience with semiconductor industry, and had been advisor to Horizon 2020 “NanoElectronics Roadmap for Europe: Identification and Dissemination” 3-years’ project, and continues to serve as international advisor to Horizon Europe project “International Cooperation on Semiconductors” since 2024. Ray is serving as committee member at SEMI Taiwan Packaging & Testing, Smart Manufacturing, and MEMS & Sensors committees. He is also appointed as vice-chair of semiconductor supply-chain committee in CIECA (Chinese International Economic Cooperation Association) of Taiwan.
Ray’s analysis and commentary have been featured in the New York Times, the Wall Street Journal, Al Jazeera, Deutsche Welle, Reuters, among others. He also appeared on Taiwan’s media such as Central News Agency, Radio Taiwan International, United Daily News, and has provided commentary for ERA TV, a Taiwanese broadcast media outlet.
Ray holds a MBA from Tel-Aviv International School of Management in Israel, and receives bachelor degree of electrical engineering with honor from National Tsing Hua University of Taiwan in 1986.
ITRI is a world-leading applied technology research institute with more than 6,000 outstanding employees. Its mission is to drive industrial development, create economic value, and enhance social well-being through technology R&D. Founded in 1973, it pioneered in IC development and started to nurture new tech ventures and deliver its R&D results to industries. ITRI has set up and incubated companies such as TSMC, UMC, Taiwan Mask Corp., Epistar Corp., Mirle Automation.
Senior Director, Strategic Marketing, CSBG
Day 1 / 17:10 - 17:15
A wide range of applications in consumer electronics, automotive electronics, IoT applications and 5G cellular communications are increasingly dependent on devices such as sensors, including MEMS, and CMOS image sensors, RF Devices, advanced power semiconductors and Bipolar-CMOS-DMOS ICs. This trend means these specialty technologies currently account for approximately 30% of all global IC demand1.
Deep reactive ion etching (DRIE), initially developed for the fabrication of MEMS devices2, has since become one of the key enabling technologies used in the fabrication of such devices as well as in advanced packaging schemes that require through silicon via (TSV) integration. At the same time, demands on the capability of the DRIE process have increased as device architectures have advanced and production has shifted to high volume manufacturing on 300mm substrates.
Lam Research’s Rapidly Alternating Process (RAP) and Syndion® DRIE tools have been well established in such high-volume manufacturing for more than two decades. Today we are focused on continued enhancement of our systems and process control methodologies in order to meet future requirements.
In this work we show how development of our deep silicon etch hardware and process capabilities is resulting in significant improvements in on-wafer results and supporting next generation device fabrication. Such challenges include the continuous improvement of process productivity, improved profile control, achieving smoother etched sidewalls, and improving uniformity of both etch depth and feature CD.
To illustrate this, we will discuss critical applications such as advanced deep trench isolation (DTI) in CMOS image sensors, etching of power device trenches and TSV fabrication.
Elpin Goh is Senior Director of Specialty Technologies Business Development at Lam Research. She is responsible for business strategy development and planning in Specialty Technologies in APAC region. She works with various Lam product owners to define product strategy and drive innovative product solutions in Specialty Technologies.
Prior to this, Elpin focused on the sales account management in Lam SEA. Elpin’s experience includes process integration, product management, sales and business development in the foundry and semiconductor equipment industry. She started her career as a process integration engineer at GlobalFoundries where she held various positions in process integration, customer engineering, product management and business development.
Elpin received a Master’s degree in Electrical Engineering from National University of Singapore, Singapore. She also holds a Bachelor’s degree from University of Manchester Institute of Science and Technology, UK.
Lam Research Corporation is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Our strong values-based culture fuels our progress, and it’s through collaboration, precision, and delivery that we are driving semiconductor breakthroughs that define the next generation. Lam Research (Nasdaq: LRCX) is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com
We combine superior systems engineering, technology leadership, and a commitment to customer success to advance the global semiconductor industry. Our broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand—it’s why nearly every chip today is built with Lam technology.
COO & Executive Vice President
CEO & Founder
Day 2 / 16:00 - 16:20
The memory market is a cornerstone of the semiconductor and technology industry, with products such as DRAM, NAND flash, and emerging memory technologies powering everything from smartphones and laptops to data centers and autonomous vehicles. Keeping up with the latest trends and insights in this dynamic and constantly evolving market is essential for making informed decisions about product strategies, design innovations, and investments. Furthermore, the impact of global events such as the COVID-19 pandemic and geopolitical tensions cannot be ignored when considering the future of the memory industry. In this presentation, attendees will gain a comprehensive understanding of the latest trends, supply and demand dynamics, pricing trends, and potential emerging memory technologies that are shaping the market.
Marco Mezger is a global entrepreneur, investor, and advisor with over 25 years of experience in the semiconductor industry. Born in Germany and based in Taipei, Marco has a unique understanding of global semiconductor businesses with their challenges, resulting in a track record at various companies and as a matchmaker in the industry. As a thought leader focusing on memory technology, Marco has a sizeable global follower base on LinkedIn. He is also a regular guest on the business TV program “Taiwan Talks” commenting on the semiconductor industry news and market trends.
Neumonda has been founded with profound know how and the ‘DNA’ of former German memory powerhouse ‘Qimonda’. It has the ability to provide memory and storage solutions to worldwide customers, especially targeting the industrial and specialty market segments.
“Deep understanding of the technical/supply chain aspects of product design and developments, in addition to sales and marketing are building the foundation of the the global management team to serve these markets with real ‘Memory Competency‘.”
Dean/Chair Professor
Day 2 / 15:10 - 15:55
Dr. Kuan-Neng Chen is Dean of International College of Semiconductor Technology and Chair Professor at Institute of Electronics at National Yang Ming Chiao Tung University (NYCU) in Taiwan. He received his Ph.D. degree in Electrical Engineering and Computer Science, as well as his M.S. degree in Materials Science and Engineering, both from Massachusetts Institute of Technology (MIT). Dr. Chen has held several prominent positions including Vice President for International Affairs, Associate Dean of International College of Semiconductor Technology at NYCU, Program Director of the Micro-Electronics Program at National Science and Technology Council in Taiwan, Adjunct R&D Director at Industrial Technology and Research Institute (ITRI), and Research Staff Member at IBM Thomas J. Watson Research Center.
Dr. Chen has received numerous awards and honors throughout his career, including IEEE EPS Exceptional Technical Achievement Award, IMAPS William D. Ashmon – John A. Wagnon Technical Achievement Award, National Industrial Innovation Award, MOST/NSTC Outstanding Research Award (twice), MOST/NSTC Futuristic Breakthrough Technology Award (twice), Pan Wen Yuan Foundation Outstanding Research Award, CIE Outstanding Professor Award, CIEE Outstanding Professor Award, and IBM Invention Achievement Awards (5 times). He has authored over 400 publications, including 3 books and 7 book chapters, and holds 88 patents. Dr. Chen served as Guest Editor for the MRS Bulletin, IEEE Transactions on Components, Packaging, and Manufacturing Technology, and Materials Science in Semiconductor Processing, and has held leadership roles in various conferences and committees, such as IEEE IITC General Chair. Dr. Chen is Fellow of National Academy of Inventors (NAI), IEEE, IET, IMAPS, and CIEE and member of Phi Tau Phi Scholastic Honor Society.
Additionally, Dr. Chen is Specially Appointed Professor at Institute of Tokyo Science (previously Tokyo Tech). His current research interests focus on three-dimensional integrated circuits (3D IC), advanced packaging, and heterogeneous integration.
NYCU was founded on the idea that, in a great university, people work across the disciplines to solve real-world problems. At our university, putting this idea into practice requires integrating Chiao Tung’s strengths in information and communications technology with Yang Ming’s strengths in biomedical research. It also requires contributing to fields located at the intersection of these research areas, for example, digital medicine and bioinformatics. And it requires training our students in such a way that the next generation will not be as constrained by disciplinary boundaries as the previous one.
At NYCU, we are striving to be a great university that transcends disciplinary divides to solve the increasingly complex problems that the world faces. We will continue to be guided by the idea that we can achieve something much greater together than we can individually. After all, that was the idea that led to the creation of our university in the first place.
Strategic Marketing Director, Advanced Packaging
Day 1 / 16:30 - 16:45
As the pace of Moore’s law slows, and the associated development cost increases, the industry has turned to advanced packaging to enable the improvement of the overall system performance, whilst also reducing cost. A key trend is the adoption of a “chiplet” approach, with panel level packaging and hybrid bonding being the two key advanced packaging enablers for the heterogenous integration. In this presentation, we will discuss the challenges and solutions for process control as well as the importance of chiplet genealogy.
Monita Pau is currently Strategic Marketing Director for Advanced Packaging at Onto Innovation. She works with business leaders and executives to drive strategic planning and leads the development of collaborative initiatives to drive growth and innovation. With over 15 years of experience, her expertise spans across frontend and backend of line process control solutions as well as specialty materials for advanced packaging and assembly. Prior to joining Onto, she held various positions in applications engineering, marketing and strategic business development at DuPont and KLA. Monita holds a Ph.D. degree in Chemistry from Stanford University.
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
VP of Greater China
Day 1 / 18:30 - 21:00
Welcome Speech:
Mr. Wang Wenfeng has more than 20 years of experience in the semiconductor industry. Before joining Rudolph Technologies (now Onto Innovation) in 2006, he worked for multiple semiconductor equipment suppliers in Taiwan. Mr. Wang has served as General Manager of China at Onto Innovation since 2011 and has recently been appointed General Manager of Greater China.
For years, he has led a team tasked with integrating Onto Innovation’s unique products and technology to solve manufacturing challenges and improve yield and throughput. Mr. Wang is committed to high-quality customer service, providing customers with application solutions and cultivating strong relationships with customers and partners.
Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.
General Telephone: +1 978 253 6200
General email: info@ontoinnovation.com
Website: www.ontoinnovation.com
CEO & Co-Founder
Day 2 / 11:30 - 11:50
Micro-light-emitting diode (MicroLED) display technology has drawn a lot of attention in display industry recently owing to the superior optical and electrical properties. Compared to conventional display technologies, MicroLED display demonstrates higher efficiencies, longer lifetime, much higher brightness, ultra-high pixel density, faster response time and wider color gamut. MicroLED display is an emerging technology which can be used for all current display applications and new scenarios, such as transparent, seamless tiling, and AR glasses for metaverse. Based on our proprietary PixeLED display, SMAR·Tech, PixeLED Matrix, and µ-PixeLED technologies, we could utilize different process for each application with MicroLED displays.
Dr. Yun-Li (Charles) Li is the CEO and co-founder of PlayNitride, one of the most advanced MicroLED companies in the world. PlayNitride was established by Dr. Li and his partners in 2014. Under Dr. Li’s leadership, PlayNitride has steadily grown to more than 300 employees within 6 years and keeps the leader position in development of MicroLED display technology. From September 2019, PlayNitride starts running the first MicroLED production line in Taiwan to deliver products to customers.
Dr. Li received his Ph.D. degree from Rensselaer Polytechnic Institute (USA) with Prof. Fred Schubert in 2003. Dr. Li’s Ph.D. work focused on gallium nitride (GaN) based light-emitting diodes (LEDs) and LED-based applications.
In 2020, Dr. Li received Special Recognition Award from Society for Information Display (SID). It recognized Dr. Li and his team in development and commercialization of MicroLED technology. It also indicated that MicroLED has been identified as the new generation display technology and key development topic.
PlayNitride has become a leading company of MicroLED technology through the breakthrough innovations and diverse business models with PixeLED Display, PixeLED Matrix, µ-PixeLED, and SMAR・Tech solutions. PlayNitride has strong capability to integrate all required technologies to realize MicroLED display applications.
Sr Director Technical Marketing
Day 2 / 09:30 - 09:50
The merge of digital and physical worlds, so called metaverse, is now happening. The metaverse is a vision of digital community. Accessing the metaverse, requires devices that allow users to experience the spatial internet. Qualcomm’s decade-plus investment in XR along with our foundational technologies enables the creation and widespread adoption of XR headsets to revolutionize digital experiences and provide an entry point of choice into the metaverse as it evolves. With the combination of high performance and low power computing, 5G and AI, we believe XR may have a greater impact on our world than PCs and smartphones combined.
Patrick Chiang is the Senior Director of Marketing at Qualcomm Technologies, Inc. He is responsible for platform product marketing strategy and commercialization with focus on segments including mobile broadband, mobile computing, extended reality, wearable, IoT, and automotive (telematic/in-vehicle infotainment/ADAS), also enabling cross-platform leading technologies and services. Patrick’s work involves supporting Taiwan OEMs/ODMs on product portfolio planning and design, go-to-market planning, and global market development. He further supports the OEMs/ODMs on establishing collaboration with Taiwanese operators on deploying mobile technologies and developing business with Qualcomm partners.
Since joining Qualcomm in 2007, Patrick has served as the Head of Account Management for Customer Engineering at Qualcomm CDMA Technologies (QCT). In this role, he was responsible for providing technical support and project management for customer product development.
Patrick holds a Master of Business Administration degree and a Bachelor of Information Management degree from National Taiwan University.
Qualcomm is the world’s leading wireless technology innovator and the driving force behind the development, launch, and expansion of 5G. When we connected the phone to the internet, the mobile revolution was born. Today, our foundational technologies enable the mobile ecosystem and are found in every 3G, 4G and 5G smartphone. We bring the benefits of mobile to new industries, including automotive, the internet of things, and computing, and are leading the way to a world where everything and everyone can communicate and interact seamlessly.
VP, Engineering
Day 2 / 15:10 - 15:55
Jian is a semiconductor industry veteran with more than 25 years of experience in process technology development, foundry operations, product engineering. Jian has extensive experience in leading and integrating global teams from various regions and businesses, having spent more than a decade in the U.S. and Asia.
Jian is the Vice President in Qualcomm, leading the Product Test Engineering (PTE) in APAC, responsible for new product test development, characterization, and test deployment, yield management, integrating and optimizing performance for all products across the BUs. She also oversees technical and management coordination with the PTE teams in the U.S.
Prior to Qualcomm, Jian served as VP of technology at NXP, responsible for technology roadmap planning and execution, both for in-house fabs and foundries. She also partnered with foundry and internal teams to ensure all new products and foundry processes would meet the automotive quality requirements and work
Jian held various management positions, including Global Foundries and Hewlett Packard. She holds master’s and bachelor’s degree in Electrical Engineering from Purdue University
Qualcomm is the world’s leading wireless technology innovator and the driving force behind the development, launch, and expansion of 5G. When we connected the phone to the internet, the mobile revolution was born. Today, our foundational technologies enable the mobile ecosystem and are found in every 3G, 4G and 5G smartphone. We bring the benefits of mobile to new industries, including automotive, the internet of things, and computing, and are leading the way to a world where everything and everyone can communicate and interact seamlessly.
CEO
Day 2 / 13:30 - 13:50
Large language models (LLMs) have become an increasingly prominent feature in various computing platforms, such as data centers, smartphones, and microcontrollers. As such, their potential applications in both human-to-machine interfaces (HMIs) and machine-to-machine interfaces (M2MI) have been extensively studied and discussed. However, implementing hyper-scale LLMs on heterogeneous multicore System-on-Chips (SoCs) poses significant challenges. In this talk, we will discuss the key challenges, including issues related to hardware/software interfaces, verification, and SoC architecture exploration. At the end of this talk, we will propose a practical workflow and corresponding solutions we’ve applied in many cases. And see how our standard tool – ONNC – is used in the workflow.
Luba Tang is the founder and CEO of Skymizer Taiwan Inc., which is in the business of providing system software to IC design teams. Skymizer’s system software solutions enable AI-on-Chip design houses to automate AI application development, improve system performance, and optimize inference accuracy. Luba Tang’s research interests include electronic system level (ESL) design, system software, and neural networks. He had focused on iterative compilers, ahead-of-time compilers, link-time optimization, neural network compilation, and neural network optimization. His most recent work focuses on exploiting various types of parallelism from different accelerators in a hyper-scale system-on-chip.
Skymizer provides AI-on-chip system software subscription services, including compilers, calibrators, runtime systems, and various AI models (Model Zoo) to the complete source code of the basic application (application). Skymizer also provides customize software and relevant source code for the IC design company.
Product Manager, Bonder Division
Day 1 / 17:05 - 17:10
Replacing traditional solder based micro-bump interconnects with Hybrid Bonding process flows is still considered a game changer for 3D integration. This interconnect method offers a unique solution for contact pitch scaling and therefore is in the spotlight of R&D roadmaps at all major research institutions, IDMs and foundries as well as the equipment and materials industry. In order to ensure optimum overlay results to allow for small interconnect pitches, the best possible alignment accuracy and repeatability needs to be consistently maintained during the joining process. Depending on the specific application there are different processing schemes for Hybrid Bonding which co-exist. These include wafer to wafer (W2W), collective die to wafer (CoD2W) as well as sequential die to wafer (D2W) approaches which all need to be carried out at cleanliness levels that are comparable to front-end-of-line (FEOL) requirements. Each of these process flows comes with specific challenges which need to be addressed from an equipment as well as a process perspective. SUSS MicroTec leverages its leading position in wet processing and precision alignment for wafer level processes and has partnered with SET (Smart Equipment Technology), who is one of the technology leading flip-chip bonder manufacturers. SUSS MicroTec looks back at many years of hybrid bonding co-optimization activities with the research partner imec for collective D2W, while SET has worked for many years with CEA Leti on sequential D2W. Our solutions are optimized based on the learnings from these activities. In order to ensure optimum yield it is essential to monitor the overlay results with no blind spots across the wafer. Therefore a high throughput metrology system has been developed at SUSS MicroTec, which can be used for in-line inspection to trigger control loops and to record quality data for all dies on the wafer. Stand-alone metrology solutions with multiple overlay verification modules allow customers to scale their measurement capabilities according to their manufacturing needs. Specific state-of-the art alignment and overlay results for both, integrated W2W and D2W Hybrid Bonding schemes will be reviewed.
Thomas Schmidt is Product Manager in the Bonder Division of SUSS MicroTec in Sternenfels. After his graduation in Microsystems Technology at the University of applied sciences in Kaiserslautern he has held various positions in MEMS/semiconductor processing and has also lectured on advanced lithography as well as on MEMS and advanced CMOS fabrication.
Since December 2017 Thomas Schmidt is a member of the Bonder Division of SUSS MicroTec product line “Permanent Wafer Bonding“) with a strong focus on automated cluster platforms for MEMS/packaging applications and hybrid bonding for advanced packaging.
SUSS is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. In close cooperation with research institutes and industry partners SUSS contributes to the advancement of next-generation technologies such as 3D Integration and nanoimprint lithography as well as key processes for MEMS and LED manufacturing. With a global infrastructure for applications and service SUSS supports more than 8,000 installed systems worldwide. SUSS is headquartered in Garching near Munich, Germany. For more information, please visit suss.com.
The SUSS portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing, complemented by micro-optical components. After sales, the company supports the entire life cycle of the tools: its range of services begins with the installation and startup of the systems including user training. Once systems are integrated in the customer’s environment, SUSS provides consistent support. Since long life cycles are very common for the company’s equipment, preventive maintenance programs are available, as well as reliable spare parts systems, warranty extensions and system upgrades. SUSS maintains service locations and local support teams in all areas of the globe to provide quick help.
VP Technology Development
Day 2 / 11:10 - 11:30
In the past decades, glass substrates with emission layers built on top were widely used in all kinds of display devices. Silicon chips played the traditional role as “driver ICs” to control LCD and OLED display panels. For emerging micro display technology in Metaverse applications, Silicon chips are further adopted to provide higher pixel resolution for better user experience. Emission layers on Si substrates (so called “Si-based micro display”) becomes popular for XR applications in Metaverse. There are several approaches to integrate multiple functions of chips within a Metaverse micro display. Pioneer system companies and panel companies work closely with Si foundries to develop high quality, reliable and cost-effective products. Of course, there are still several challenges in system integration and Si technology itself. In this presentation, we will share our thoughts about the role of Si technology in Metaverse micro display applications from a foundry perspective.
Steven Hsu is working as VP of Technology Development in UMC, a pure foundry focusing on specialty technologies. Steven holds his master degree in Electronics Engineering from National Chiao Tung University. He has 24 years of experience in semiconductor industry with over 40 patents. He is currently responsible for technology development in logic, eHV, BCD, eNVM, and RFSOI product segments.
UMC (NYSE: UMC, TWSE: 2303) is a leading global semiconductor foundry company. The company provides high quality IC fabrication services, focusing on logic and various specialty technologies to serve all major sectors of the electronics industry. UMC’s comprehensive IC processing technologies and manufacturing solutions include Logic/Mixed-Signal, embedded High-Voltage, embedded Non-Volatile-Memory, RFSOI and BCD etc. Most of UMC’s 12-in & 8-in fabs with its core R&D are located in Taiwan, with additional ones throughout Asia. UMC has total 12 fabs in production with combined capacity over 800,000 wafers per month (8-in equivalent), and all of them are certified with IATF 16949 automotive quality standard. UMC is headquartered in Hsinchu, Taiwan, plus local offices in United States, Europe, China, Japan, Korea & Singapore, with worldwide total 20,000 employees.
For more information, please visit: https://www.umc.com.
End of content
End of content